Progettazione e realizzazione di una scheda di...

135
Università degli Studi di Firenze Facoltà di Scienze Matematiche Fisiche e Naturali Corso di Laurea in Fisica Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione dei dati per i sensori al silicio di LHCf. Relatore Prof. Raffaello D’Alessandro Laureando Vladimiro Noce Anno Accademico 2005-2006

Transcript of Progettazione e realizzazione di una scheda di...

Page 1: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Università degli Studi di FirenzeFacoltà di Scienze Matematiche Fisiche e Naturali

Corso di Laurea in Fisica

Tesi di Laurea

Progettazione e realizzazione di unascheda di digitalizzazione dei datiper i sensori al silicio di LHCf.

Relatore

Prof. Raffaello D’Alessandro

Laureando

Vladimiro Noce

Anno Accademico 2005-2006

Page 2: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione
Page 3: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

A mio padre,

che ci credeva.

Page 4: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione
Page 5: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Indice

Introduzione 1

1 L’esperimento LHCf 3

1.1 I raggi cosmici, loro energia e composizione . . . . . . . . . . . . . . . 3

1.2 La soglia GZK e discordanza degli attuali dati sperimentali . . . . . . 5

1.3 Dipendenza dei dati dal modello adottato ed utilità di LHCf . . . . . 11

1.4 LHC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

1.5 Struttura dell’esperimento LHCf . . . . . . . . . . . . . . . . . . . . . 21

1.5.1 Collocazione . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

1.5.2 Arm 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

1.5.3 Arm 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

1.5.4 Il trigger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

1.5.5 L’acquisizione e l’analisi dei dati . . . . . . . . . . . . . . . . . 32

1.5.6 Operatività di LHCf . . . . . . . . . . . . . . . . . . . . . . . 33

2 Il sistema tracciante del rivelatore Arm 2 37

2.1 Importanza del sistema tracciante . . . . . . . . . . . . . . . . . . . . 38

2.2 I sensori a microstrisce di silicio . . . . . . . . . . . . . . . . . . . . . 39

2.3 Il PACE3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43

2.3.1 Caratteristiche . . . . . . . . . . . . . . . . . . . . . . . . . . 46

2.3.2 Funzionamento . . . . . . . . . . . . . . . . . . . . . . . . . . 47

2.4 La DCU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

i

Page 6: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

2.5 L’ibrido . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

2.6 Il modulo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

3 Il processo di acquisizione e controllo 53

3.1 Il Control Ring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

3.1.1 Caratteristiche . . . . . . . . . . . . . . . . . . . . . . . . . . 54

3.1.2 Funzionamento . . . . . . . . . . . . . . . . . . . . . . . . . . 56

3.1.3 La ridondanza . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

3.2 Distribuzione del clock e dei controlli veloci . . . . . . . . . . . . . . 59

3.3 L’acquisizione dei dati . . . . . . . . . . . . . . . . . . . . . . . . . . 60

3.4 Alimentazione . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62

3.5 Gli ASIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

3.5.1 AD 41240 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

3.5.2 GOL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

3.5.3 DOH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

3.5.4 CCU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

3.5.5 PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

3.5.6 Gli altri ASIC . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

3.6 La Cyclone . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74

3.7 FEC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75

4 La progettazione della scheda di readout (FED) 79

4.1 La Cyclone e l’impacchettamento dei dati . . . . . . . . . . . . . . . . 82

4.1.1 La piggyback per i tre ADC . . . . . . . . . . . . . . . . . . . 83

4.1.2 Le FIFO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

4.2 La distribuzione del clock e dei controlli ‘veloci’ . . . . . . . . . . . . 87

4.3 I controlli lenti e i canali I2C . . . . . . . . . . . . . . . . . . . . . . 89

4.3.1 La CCU e i canali I2C . . . . . . . . . . . . . . . . . . . . . . 90

4.3.2 I reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

ii

Page 7: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

4.3.3 I controlli del GOL . . . . . . . . . . . . . . . . . . . . . . . . 90

4.3.4 Le flag delle FIFO e della QPLL . . . . . . . . . . . . . . . . 91

4.3.5 La configurazione della Cyclone . . . . . . . . . . . . . . . . . 91

4.4 La Cyclone e l’invio dei dati . . . . . . . . . . . . . . . . . . . . . . . 93

4.5 L’alimentazione . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

4.6 Il disegno . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

5 Risultati 97

5.1 La costruzione del FED . . . . . . . . . . . . . . . . . . . . . . . . . 97

5.2 La programmazione della Cyclone . . . . . . . . . . . . . . . . . . . . 99

5.2.1 Il pinout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101

5.2.2 La configurazione . . . . . . . . . . . . . . . . . . . . . . . . . 102

5.3 Il programma della Cyclone . . . . . . . . . . . . . . . . . . . . . . . 102

5.3.1 La decodifica . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

5.3.2 La gestione delle FIFO . . . . . . . . . . . . . . . . . . . . . . 104

5.3.3 L’invio dei dati . . . . . . . . . . . . . . . . . . . . . . . . . . 105

5.4 I test . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

5.5 Il fascio di test . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107

5.6 Conclusioni . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112

Bibliografia 116

iii

Page 8: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

iv

Page 9: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Introduzione

In questa tesi viene presentato il lavoro fatto per progettare e costruire la scheda

di digitalizzazione e controllo del sistema tracciante al Silicio di Arm 2, uno dei due

‘bracci’ dell’esperimento LHCf (Large Hadron Collider forward) [1] che sarà installato

presso il collisionatore LHC (Large Hadron Collider) al CERN di Ginevra. Tale sche-

da provvederà alla digitalizzazione dei dati provenienti dai rivelatori a microstrisce

(ed al loro invio verso la sala di controllo dell’esperimento) e alla distribuzione dei

controlli all’elettronica di front-end.

La presente tesi è suddivisa in cinque capitoli. Il primo capitolo è dedicato al-

l’esposizione delle motivazioni fisiche che giustificano l’esperimento LHCf, ad una

panoramica sullo stato attuale delle conoscenze e ad una previsione dell’impatto che

avranno le sue misure. Conclude il capitolo una descrizione dei due rivelatori Arm 1

e Arm 2 che costituiscono l’esperimento e della tempistica.

Nel secondo capitolo viene presentato il sistema tracciante del rivelatore Arm 2,

i componenti utilizzati per il primo trattamento del segnale e l’ibrido che li contiene.

Nel terzo capitolo vengono descritte l’elettronica di controllo e quella di acqui-

sizione che troveranno posto nella scheda madre. Nel quarto capitolo viene illustrata

la progettazione del circuito stampato e vengono descritte le schede ad esso collegate.

Infine, nel quinto capitolo, viene presentato il prodotto realizzato e vengono di-

scussi i risultati ottenuti testando la scheda ed in occasione del suo utilizzo sotto il

fascio di test.

1

Page 10: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

2

Page 11: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Capitolo 1

L’esperimento LHCf

1.1 I raggi cosmici, loro energia e composizione

In astrofisica, sotto la definizione di Raggi Cosmici si raccoglie tutta quella serie

di particelle di alta energia presenti nello spazio intergalattico che finiscono per

impattare sull’atmosfera terrestre e la cui origine presenta ancora molti lati oscuri.

Energia

L’energia cinetica dei raggi cosmici copre un vastissimo intervallo: essa infatti si

estende per quattordici ordini di grandezza. Come si vede dalla figura 1.1, l’intensità

del flusso dei nucleoni primari ad alte energie (da diversi GeV a oltre 100 Tev) può

essere ben descritta da una legge di potenza:

IN(E) ≈ 1, 8 · E−α nucleoni

cm2 s sr GeV

dove E è l’energia per nucleone espressa in GeV (inclusa l’energia della massa a riposo)

e α = γ + 1 = 2, 7 è l’indice spettrale differenziale (e γ è quello integrale).

Questo ampio spettro di energia viene normalmente interpretato come un indizio

del fatto che differenti tipi di sorgenti di diversa natura contribuiscono alla creazione

dei raggi cosmici.

3

Page 12: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 1.1: Spettro d’energia dei raggi cosmici nell’intervallo 108÷1021eV . Lo spettrosembra continuare oltre i 1020eV . Nella figura vengono anche indicati i flussi di raggicosmici corrispondenti ai punti caratteristici denominati knee e ankle [15].

Composizione

I raggi cosmici che colpiscono l’atmosfera terrestre sono composti da tutti i tipi di par-

ticelle e nuclei stabili con vita media dal milione di anni in su [11] [12]. Le abbondanze

relative delle varie specie di nuclei (circa il 79% dei nucleoni primari sono protoni,

mentre circa 14% sono nuclei di elio) sono comparabili a quelle del Sole, cosa che sta

ad indicare una origine locale almeno della componente dei raggi cosmici di più bassa

energia. Anche elettroni, raggi gamma e neutrini sono presenti in piccole quantità

e vengono attivamente studiati perché potrebbero dare utili indicazioni sull’origine

4

Page 13: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

ed il percorso che hanno fatto i raggi cosmici prima di arrivare a noi. In effetti, ad

energie fino a 1015 eV, le osservazioni relative alla composizione dei nuclei ed allo

spettro d’energia dei raggi cosmici vengono comunemente interpretate all’interno di

un modello diffusivo nel quale le sorgenti dei raggi cosmici si trovano all’interno della

galassia.

Per i raggi cosmici che provengono da fuori del sistema solare, c’è una significativa

anticorrelazione tra l’attività solare (che segue un ciclo di undici anni) e l’intensità

dei raggi cosmici stessi con energia inferiore a 10 GeV. Infatti le particelle cariche

che arrivano fino a noi vengono modulate dal vento solare (il plasma magnetizzato

proveniente dal sole) che decelera ed esclude parzialmente dall’interno del sistema

solare i raggi cosmici di energia più bassa provenienti dalla galassia.

Inoltre i raggi cosmici di energia minore sono influenzati dal campo magnetico ter-

restre che devono attraversare per raggiungere l’atmosfera, perciò l’intensità dei raggi

cosmici con energie dell’ordine del GeV dipende sia dal luogo che dal momento del-

l’osservazione. I raggi cosmici di energia più elevata (UHECR, Ultra-High Energy

Cosmic Rays) devono provenire da sorgenti vicine (meno di 100 Mpc, come vedremo

tra poco) e vengono debolmente influenzate nella loro traiettoria dai campi magnetici

galattico ed intergalattico. Perciò la loro traiettoria dovrebbe puntare direttamente

alla sorgente che li ha originati, cioè dovrebbe essere possibile fare della vera e propria

‘astronomia’.

1.2 La soglia GZK e discordanza degli attuali dati

sperimentali

Ogni qualvolta un raggio cosmico interagisce nell’atmosfera si forma uno sciame aereo

di particelle (AS, Air Shower) e, se la sua energia è sufficientemente alta, la cascata

di particelle che vengono prodotte è rilevabile sulla superficie terrestre; lo sciame in

questo caso prende il nome di EAS (Extended Air Shower) [2], [3], [4], [5], [6], [7].

5

Page 14: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Uno sciame iniziato da un protone o da un nucleo contiene una zona centrale adroni-

ca che si comporta come una sorgente collimata di sciami elettromagnetici, generati

principalmente dal processo: π0 → γ γ e i positroni e gli elettroni che ne derivano

(γ → e+e−) costituiscono la componente più numerosa dello sciame.

Questi sciami aerei incidono a terra su ampie superfici e sono necessarie grandi reti

di rivelatori per studiare i raggi cosmici aventi un’energia iniziale E0 > 100TeV .

Data la natura indiretta di questo tipo di osservazione, per risalire allo spettro di

energia e alla composizione dei raggi cosmici primari si utilizzano simulazioni detta-

gliate e calibrazioni incrociate tra i diversi tipi di rivelatori [14]. A queste energie,

d’altronde, l’osservazione diretta fatta per mezzo di rivelatori montati su palloni o su

satellite diventa impossibile a causa del flusso di eventi molto scarso (0,01 particelle

per metro quadro per anno per eventi aventi energia maggiore di 1017eV ).

Osservando la figura 1.1 possiamo apprezzare alcune caratteristiche dell’andamento

del numero di particelle in funzione dell’energia come l’irripidimento dello spettro che

avviene tra 1015 e 1016 eV che viene chiamato ‘ginocchio’ (knee) o la caratteristica

presente intorno a 1019 eV che viene chiamata ‘caviglia’ (ankle). Queste regioni sono

molto studiate perché potrebbero dare utili indicazioni per risolvere le questioni an-

cora aperte sull’origine dei raggi cosmici [15].

Se i raggi cosmici al di sotto dei 1018 eV fossero di origine galattica la presenza del

knee potrebbe riflettere il fatto che alcuni (ma non tutti) gli oggetti che accelerano

queste particelle hanno raggiunto la loro massima energia. Si stima che i resti di

supernovae, per esempio, non possano accelerare le particelle ad energie maggiori di

1015 eV [16].

L’interpretazione corrente circa la presenza della ankle a 3 × 1018 eV è che sia l’in-

dizio che a questa energia un flusso di particelle di origine extragalattica cominci a

prevalere sul flusso galattico.

La collaborazione AGASA [19] ha riportato un risultato molto interessante rela-

tivamente ai raggi cosmici di energia estremamente elevata (UHECR). Questo espe-

6

Page 15: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

rimento, basato in Giappone e costituito da una grande rete di rivelatori dislocati

a terra (100km2), ha osservato un numero inaspettato di sciami atmosferici giganti,

da cui si ricava che l’energia del raggio cosmico primario responsabile di alcuni di

questi sciami dovrebbe essere di oltre 1020eV, così come mostrato dai triangoli blu in

figura 1.2. Tale osservazione, come illustrato più avanti, è difficile da conciliare con le

attuali conoscenze astrofisiche perché ci sono delle chiare previsioni circa una brusca

diminuzione del flusso nella regione del knee causata dalle collisioni anelastiche sul

fondo a microonde (CMB, Cosmic Microwave Background).

Figura 1.2: Spettro di energia dei raggi cosmici alle energie più estreme. I triangoli blurappresentano i dati di AGASA, costituito da una rete di rivelatori che in Giapponecopre circa 100 km2 con 111 rivelatori a scintillazione. I punti neri e i quadratirossi rappresentano i dati di HiRes presi in Utah osservando la luce di fluorescenzain atmosfera. E’ evidente la discrepanza tra i dati raccolti dai due esperimenti perenergie superiori a 1020eV .

Inoltre non è possibile confinare i raggi cosmici nella nostra galassia (restando

quindi nell’ambito di un modello diffusivo) quando la loro energia superi i 4 × 1019

7

Page 16: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

eV, anche ipotizzando che un campo magnetico uniforme di 3µG pervada l’intero

alone galattico.

Dal punto di vista dell’energia del primario infine, all’interno delle attuali conoscenze

fisiche, è ignota l’origine di queste particelle di altissima energia.

Già nel 1966 Greisen, da una parte, e Zatsepin e Kuz’min, dall’altra, [8] [9] sotto-

linearono come a queste energie estreme i protoni (ma anche i nuclei) extragalattici, in

qualunque modo vengano prodotti, non dovrebbero giungere fino a noi a causa delle

interazioni foto-nucleari con i fotoni a 2,7 K del fondo cosmico a microonde scoperto

da Penzias e Wilson [10] che, in un processo di risonanza, porta alla formazione dei

barioni ∆ (1232).

Questo processo dà origine al cosiddetto cut-off di Greisen-Zatsepin-Kuz’min (GZK)

cioè ad una soglia nello spettro dei raggi cosmici posta ad un’energia di 1019eV nel

caso in cui essi siano composti principalmente di protoni e posta a 1020eV se essi

fossero costituiti da nuclei quali ad esempio del ferro.

Per spiegare l’esistenza di eventi oltre la soglia GZK si possono seguire due strade:

o si chiama in causa qualche processo astrofisico di accelerazione ancora ignoto (pro-

cesso Bottom-Up [21], [22]) che prevede l’immissione di particelle a bassa energia in

un ‘motore’ che provveda poi ad accelerarle progressivamente fino a far loro raggiun-

gere le energie osservate), oppure si invoca uno scenario di tipo Top-down (cioè in

cui i raggi cosmici derivano dal decadimento di particelle molto massicce e ancora

sconosciute [20] [17]). Risulta chiaro quindi che la risoluzione del problema relati-

vo all’origine degli eventuali raggi cosmici oltre la soglia GZK potrebbe portare ad

un deciso avanzamento della conoscenza sia nel campo della fisica delle particelle

elementari che dell’astrofisica.

D’altro canto bisogna tener conto dei risultati del gruppo HiRes che, in un esperi-

mento basato nello Utah, osserva la luce di fluorescenza prodotta dagli sciami atmo-

sferici, e che ha pubblicato dati che sono compatibili con l’esistenza della soglia GZK

[23], come mostrato dai relativi punti riportati sempre in figura 1.2.

8

Page 17: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Recentemente, dato l’interesse suscitato dalla questione, altri dati sono stati rac-

colti alle energie intorno alla soglia GZK. La collaborazione Auger [24] ha riportato

dei risultati preliminari dell’omonimo esperimento che mostrano come lo spettro dei

raggi cosmici sembri continuare alle più alte energie, ma gli errori statistici e siste-

matici sono tali da non rendere conclusivo tale contributo nel convalidare i dati di

AGASA o rigettare quelli di HiRes (vedi figura 1.3).

Figura 1.3: Spettro di energia dei raggi cosmici alle energie più estreme (i triangolirosa rappresentano i dati di Auger [24], i triangoli blu rappresentano i dati di AGASA[19], i punti neri e i quadrati rossi rappresentano i dati di HiRes [23]). Il grafico èstato ottenuto moltiplicando il flusso per E3 per accentuare la differenza tra i datidei vari esperimenti [20].

Questi gruppi usano metodi sperimentali diversi tra loro, ma tutte le procedure

sperimentali usate per derivare lo spettro di energia a partire dalle osservazioni sul-

la superficie terrestre dipendono fortemente dal modello usato per descrivere l’in-

terazione nucleare dei raggi cosmici nell’atmosfera adottato nei codici Monte Carlo.

Questi modelli sono basati sull’estrapolazione ad altissime energie di dati sperimentali

9

Page 18: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 1.4: La figura di sinistra mostra un altro spettro di energia dei raggi cosmicialle energie maggiori di 3 × 1018 eV . La figura di destra evidenzia la drammaticainconsistenza dei dati oltre la soglia GZK.

presi ad energie molto più basse [18]. Risulterebbe quindi utile stabilire sperimen-

talmente lo spettro delle particelle emesse a piccolo angolo (che, come vedremo tra

poco, sono quelle più importanti per descrivere lo sviluppo di uno sciame aereo) ad

energie di interazione molto più alte rispetto a quanto ottenuto fino ad ora.

Al momento l’evidenza sperimentale non ci permette quindi di trarre una conclu-

sione definitiva sulla esistenza o meno di raggi cosmici ad un’energia superiore alla

soglia GZK. Per questo motivo sono in costruzione nuovi esperimenti per misurare

meglio gli sciami atmosferici. Auger [24] è dislocato in Argentina ed utilizza quattro

postazioni per rivelare la luce di fluorescenza prodotta dagli sciami e una rete di 1600

contatori Cherenkov. TA (Telescope Array, [25]), userà una combinazione di tre ri-

velatori di fluorescenza e una rete di 576 rivelatori costituiti da un doppio strato di

scintillatore (3 m2 di area), posti nel deserto dello Utah. E’ stato anche proposto il

progetto EUSO che dalla ISS (International Space Station) avrebbe dovuto osservare

lo sviluppo di sciami in atmosfera [26].

10

Page 19: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

1.3 Dipendenza dei dati dal modello adottato ed uti-

lità di LHCf

Come abbiamo anticipato nel paragrafo precedente la conoscenza della distribuzione

di energia delle particelle emesse dagli sciami in atmosfera nella regione a piccolo

angolo è di fondamentale importanza per la ricostruzione dell’energia e della compo-

sizione dei raggi cosmici primari e quindi per la comprensione del fenomeno dei raggi

cosmici. Ad oggi gli unici dati sperimentali disponibili relativi alla sezione d’urto di

produzione sono quelli ottenuti dalla Collaborazione UA7 [18] al Cern ad un’energia

di 2 × 1014eV . In quell’occasione è stata osservata la distribuzione di fotoni e pioni

neutri in funzione dell’energia nell’intervallo di rapidità y = 5÷ 7, dove la rapidità y

è definita come:

y = tanh−1 Pz

E

con z l’asse orientato lungo la direzione del fascio.

Una nuova opportunità viene offerta dal futuro funzionamento del collisionatore

LHC (Large Hadron Collider) al CERN che, avendo un’energia nel centro di massa

di 14 TeV, permetterà di raggiungere energie equivalenti nel laboratorio di 1017eV.

Infatti:

Elab =E2

CM

2mp=

196 · TeV 2

2 · 938MeV≈ 1017eV

La calibrazione dei codici Monte Carlo a queste energie offrirà un solido punto di

partenza per avere modelli più affidabili utili a ricostruire l’energia e la composizione

dei raggi cosmici primari.

Osserviamo dalle figure 1.2 e 1.3 che tutti gli attuali risultati sperimentali risul-

terebbero consistenti tra loro se si prendessero in considerazione possibili errori siste-

matici nella calibrazione della scala dell’energia. Infatti un differenza sistematica del

20% nel numero previsto di particelle cariche porta ad una incertezza del 50% nella

ricostruzione dell’energia dei raggi cosmici primari e LHCf potrà fornire informazioni

utili a ridurre le differenze sistematiche tra i vari modelli.

11

Page 20: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Le domande a cui LHCf contribuirà a dare risposta sono sostanzialmente due:

1. qual’è la composizione dei raggi cosmici primari che sembra cambiare intorno

ai 1017eV (principalmente protoni o nuclei? Vedi la figura 1.5);

2. esiste una soglia GZK a 1020eV?

Per risolvere il primo quesito e cioè stabilire la composizione dei raggi cosmici

nell’intervallo di energia che va da 1017 a 2× 1019 eV si può osservare la profondità a

cui sviluppano gli sciami. Secondo i risultati sperimentali ottenuti dal Fly’s eye de-

tector [40], la profondità del massimo dello sciame varia da 600g/cm2 a 800g/cm2 in

questo range di energia. In generale gli sciami raggiungono il loro massimo sviluppo

ad una profondità che cresce con l’energia (cioè più vicino al suolo) e quelli iniziati

da nuclei composti sviluppano prima di quelli iniziati da protoni. Apparentemente vi

è una transizione da sciami composti soprattutto da protoni verso nuclei più pesanti

intorno ai 1016eV . Quando confrontiamo questi dati con i calcoli teorici vediamo che,

a seconda del modello adottato, le previsioni sulla composizione cambiano (linee con-

tinue e tratteggiate in figura 1.5) ma la variazione intrinseca dei dati sperimentali è

considerevole, ed è quindi difficile dedurre da questi la composizione dei raggi cosmici

alle varie energie. Uno degli scopi dell’esperimento LHCf è di ridurre questa indeter-

minazione. Perciò sarebbe molto importante disporre di un modello più attendibile

per la simulazione della produzione di particelle a piccolo angolo al fine di ottenere

delle risposte conclusive circa la composizione dei raggi cosmici a quelle energie.

Risolvere il secondo problema sarebbe anche più importante: infatti se non ci fos-

se una soglia GZK la spiegazione implicherebbe necessariamente della nuova fisica.

Ma i due problemi sono comunque legati perché risolvendo il primo si avrebbero

preziose informazioni sull’origine dei raggi cosmici utili a distinguere tra i vari scenari

(Bottom-Up o Top-Down) proposti per risolvere il secondo.

Riassumendo, le quantità che sarebbe più utile conoscere per descrivere lo sviluppo

di uno sciame atmosferico sono:

1. lo spettro di produzione di γ e di π0 a piccoli angoli;

12

Page 21: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 1.5: La profondità del massimo di uno sciame in g/cm2 in funzione della suaenergia in eV. Tutte le simulazioni predicono che a parità di energia gli sciami iniziatida nuclei (per esempio Fe) sviluppino prima di quelli iniziati da protoni e da fotoni.

2. l’andamento dell’energia della particella principale;

3. la sezione d’urto anelastica totale.

A questo scopo, quindi, sarebbe importante disporre di un esperimento capace di

osservare le particelle emesse intorno a θ = 0 (y = ∞). Ma i grandi esperimenti come

ATLAS e CMS sono ottimizzati per l’osservazione di particelle con alto momento

trasverso. Lo scopo di LHCf invece è proprio quello di coprire la zona in avanti.

In figura 1.6 viene mostrata l’importanza delle cosiddette forward particles. At-

traverso una simulazione Monte Carlo di sciami aerei prodotti da una particella inci-

dente nell’atmosfera con un angolo di inclinazione di 60 ed un’energia di 5×1019 eV .

La curva inferiore mostra quanto si riduca lo sviluppo dello sciame se si taglia il con-

tributo fornito dai pioni e dai kaoni emessi nella regione con xF < 0.1, dove:

xF =PL

Ptot

13

Page 22: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 1.6: La distribuzione del numero di elettroni in funzione della spessore diatmosfera attraversata prevista dal modello DPMjet 3 per un protone di energia5× 1019eV . La curva in alto è stata calcolata senza trascurare il contributo di alcuntipo di particella. Mentre le altre due mostrano il risultato ottenuto tagliando i fotonicon xF < 0, 05 e tagliando i pioni e kaoni con xF < 0, 1.

è la variabile di Feynman. La curva di mezzo mostra invece l’effetto del taglio dei

fotoni emessi in una regione con xF < 0.05, mentre la curva superiore è la curva

ottenuta senza ignorare alcuna particella. Da questo grafico si può comprendere

l’importanza del contributo fornito allo sviluppo totale dello sciame dalle particelle

che hanno grandi valori di impulso longitudinale.

In figura 1.7 [27] viene riportato il numero di particelle cariche prodotte da un

protone o da un nucleo di ferro secondo quanto previsto da tre diversi modelli di inte-

razione: DPMJET 2.5 [42], QGSJET [43] e SIBYLL 2.1. Notiamo come a 900 g/cm2

(corrispondente ad un’altitudine di circa 1500 metri, la dislocazione di Auger) si possa

14

Page 23: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

sbagliare a ricostruire l’energia del raggio cosmico primario che ha generato lo sciame

di un fattore circa due a seconda del modello adottato. Questa osservazione potrebbe

risolvere le discrepanze esistenti tra i dati raccolti da AGASA e quelli di HiRes (vedi

figura 1.3 e paragrafo 1.3). Infatti se riducessimo (o aumentassimo) il valore assoluto

della scala di energia misurata dal gruppo AGASA (HiRes) del 20% allora i dati dei

due esperimenti risulterebbero consistenti tra loro.

Figura 1.7: Numero di particelle cariche prodotte da un protone e da un nucleo di Fedi 1019eV calcolati da tre modelli di interazione: DPMJET 2.5, QGSJET e SYBILL2.1. Osservare le diverse profondità previste per il massimo dello sciame per i protonie per i nuclei di ferro.

L’esperimento LHCf servirà a distinguere più chiaramente tra i diversi modelli

di produzione ad indicare quelli più corretti e a migliorarli. Infatti LHCf misurerà

la distribuzione inclusiva dei γ e dei π0 in funzione di xF misurando l’energia e la

posizione trasversa degli sciami elettromagnetici.

LHCf misurerà inoltre la distribuzione dei neutroni in funzione di xF perché potremo

15

Page 24: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

distinguerli dal diverso sviluppo longitudinale degli sciami indotti da adroni rispetto

a quelli elettromagnetici (vedi per esempio le figure 1.13 e 1.14). Anche questa misura

fornirà importanti informazioni utili ai modelli di interazione usati nei codici Monte

Carlo usati per simulare gli sciami prodotti da raggi cosmici.

1.4 LHC

LHC (Large Hadron Collider), il più grosso acceleratore in funzione nel prossimo

futuro, sarà collocato nello stesso tunnel di LEP, a circa 100 metri di profondità,

presso i laboratori del CERN di Ginevra. Al suo interno sono previsti quattro grandi

esperimenti (CMS [39], ATLAS [34], ALICE [35] e LHCb [36]) la cui dislocazione è

mostrata in figura 1.8 e due più piccoli: TOTEM [37] e LHCf [1].

Figura 1.8: L’immagine rappresenta il complesso delle strutture del CERN che fannoparte dell’acceleratore LHC con l’indicazione delle dislocazioni degli esperimenti.

Il nuovo acceleratore produrrà collisioni tra due fasci di protoni controrotanti ad

un’energia di 14 TeV, la più grande mai raggiunta in un esperimento con accelera-

tori. Il tunnel di LHC è lungo 26,659 km, ed è composto di otto sezioni curvilinee

16

Page 25: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 1.9: Un’immagine esemplificata del percorso fatto e delle energie raggiuntedai diversi tipi di particelle a LHC.

(2,840 km ognuna) e otto rettilinee, in cui si faranno collidere i fasci, che nell’insieme

formano quasi una circonferenza. Per raggiungere l’energia voluta di√

s = 14TeV

i fasci di protoni saranno prima accelerati fino a 50 MeV da un acceleratore lineare,

poi portati a 1,4 GeV da un Booster ; da qui essi verranno iniettati nel vecchio acce-

leratore circolare Proton Synchrotron (PS), dove subiranno un’accelerazione fino ad

un’energia di 25 GeV; quindi verranno estratti dal PS ed iniettati nel Super Proton

Synchrotron (SPS) che introdurrà particelle da 450 GeV nell’anello di LHC. Qui i

pacchetti acquisteranno progressivamente energia fino ad un massimo di 7 TeV e a

questo punto verranno fatti collidere (ottenendo così l’energia totale nel centro di

massa di 14 TeV) nei quattro punti di interazione, dove sono anche posizionati i

quattro principali esperimenti: CMS, ATLAS, ALICE e LHCb (rispettivamente in

IP5 - IP1 - IP2 - IP8, vedi figure 1.8 e 1.9).

Due di essi (ATLAS e CMS) sono stati costruiti per effettuare esperimenti multipli,

mentre gli altri due sono esperimenti dedicati: ALICE allo studio delle collisioni tra

ioni pesanti e LHCb alla fisica dei mesoni B ed alle misure di precisione della vio-

lazione CP (Carica-Parità). TOTEM è un esperimento dedicato alla misura della

sezione d’urto totale, allo studio dello scattering elastico e dei processi diffrattivi.

Nelle otto sezioni curvilinee 1232 dipoli, operanti a 1,9 K grazie ad un sistema

di raffreddamento ad elio liquido, genereranno un campo magnetico fino a 8,33 T e

17

Page 26: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

saranno utilizzati per sterzare le particelle in traiettorie curvilinee in associazione con

292 quadrupoli, 360 sestupoli e 336 ottupoli necessari per il focheggiamento del fascio

e per il controllo della stabilità. Nei segmenti lineari le cavità a radiofrequenza (400,8

MHz) provvederanno all’accelerazione delle particelle del fascio e compenseranno le

perdite di energia con campi elettrici che vanno da 3MV/m nella fase di iniezione a

16MV/m alla piena energia. Inoltre LHC potrà anche produrre collisioni elettrone-

protone con energie fino a 1,7 TeV e collisioni tra ioni pesanti (Pb-Pb) con energia

totale fino a 2, 76 · A TeV (dove A è il numero di massa atomica).

Luminosità

I processi più interessanti prodotti nelle collisioni p-p implicano grandi energie, basse

sezioni d’urto e piccoli branching ratios e quindi richiedono un’alta luminosità. La

luminosità di LHC sarà progressivamente aumentata dopo l’accensione: dopo un

primo anno di operazioni a 1033cm−2s−1 (‘bassa luminosità’), la luminosità arriverà

al valore di 1034cm−2s−1.

La luminosità L è definita come la costante di proporzionalità esistente tra il numero

di eventi prodotti nell’unità di tempo Rx di un certo tipo x e la corrispondente sezione

d’urto σx.

Rx = L · σx

Il numero effettivo di eventi osservati è invece:

nx =

∫L · σx · ε · dt

cioè la luminosità integrata sul tempo di funzionamento effettivo dell’acceleratore

(che per un anno standard possiamo porre uguale a 107s) e corretta per un fattore ε

che rappresenta l’efficienza del rivelatore.

La luminosità, che è un parametro molto importante per caratterizzare le prestazioni

di un collider, è una quantità completamente determinata dalle proprietà del fascio:

18

Page 27: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

nell’ipotesi di un piccolo angolo di incrocio tra i fasci, composti di pacchetti aventi

una distribuzione gaussiana, la luminosità risulta essere:

L =fN1N2nbF

4πσxσy

dove nb è il numero di pacchetti (bunches) di particelle che compongono un fascio,

N1 = N2 = N sono il numero di particelle per ciascuno di essi, f = 3564/∆t è la

loro frequenza di rivoluzione (11,245 kHz, con ∆t = 24, 95ns e 3564 è il numero di

posizioni occupabili all’interno di un fascio) e σx e σy sono le dimensioni rispettiva-

mente orizzontali e verticali della loro sezione (approssimando questa con un’ellisse);

F è un fattore di correzione dovuto all’angolo di incrocio diverso da zero (ma inferiore

a 300µrad).

Parametri

La frequenza di passaggio dei pacchetti a LHC (bunch crossing rate) sarà di 40,08

MHz, corrispondente ad una separazione tra i pacchetti di 7,48 m, ma per inserire

nuovi pacchetti è necessario organizzarli in sequenze seguite da intervalli vuoti, co-

sicché non tutte le posizioni occupabili (3564 = circonferenza dell’anello/spazio tra i

pacchetti) saranno occupate. Il fascio nel punto IP1 avrà dimensioni trasverse σx e

σy pari a 16, 7µm.

Nel periodo iniziale (circa sei mesi) del funzionamento di LHC la luminosità dovrebbe

gradualmente aumentare a partire da un valore di 2×1026cm−2s−1 fino a 2×1031cm−2s−1.

In questa fase preliminare LHC produrrà collisioni p-p in cui ogni fascio sarà compo-

sto da 43 pacchetti costituiti da 0, 5 × 1011 protoni ognuno. Le collisioni avverranno

con un angolo di incrocio pari a zero (F=1).

Nella prima fase operativa LHC produrrà collisioni p-p in cui ogni fascio sarà compo-

sto da 2808 pacchetti ravvicinati costituiti da 1, 1×1011 protoni. L’angolo di incrocio

sarà di 285 µrad (F=0,836). Un riassunto dei principali parametri di LHC è mostrato

in tabella 1.1.

19

Page 28: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Circonferenza 26658,883 mEnergia di collisione 2 × 7 TeVEnergia di iniezione 450 GeVCampo magnetico nei dipoli 8, 3 TLuminosità massima 1034cm−2s−1

Separazione tra i pacchetti 24, 95 ns o 7, 5 mEnergia immagazzinata in un fascio 350 MJEnergia irradiata da un fascio 3, 6 kWPerdita di energia per ogni giro 6, 71 keVCorrente circolante in un fascio 0, 582 ADimensioni del fascio nel punto di interazione IP1 16, 7 µmVita media di un fascio 22 hVita media della luminosità 14, 9 h

Tabella 1.1: Le principali caratteristiche di LHC nella fase di pieno funzionamento.

E’ prevista una seconda fase di funzionamento di LHC, nella quale verranno fatti

collidere fasci di ioni pesanti (592 pacchetti) alla luminosità di 1027cm−2s−1. Per un

confronto tra le varie fasi di funzionamento di LHC vedi la tabella 1.2.

Caratteristiche

L’energia massima di LHC è limitata dal campo magnetico necessario a confinare il

fascio nell’orbita circolare e cioè:

p [GeV/c] = 0, 3 · B [T ] · ρ [m]

dove B è il campo magnetico, p il momento delle particelle e ρ il raggio dell’orbita. La

scelta dell’energia del fascio di 7 TeV è stata dettata dal massimo campo magnetico

ottenibile e dipende dal raggio di curvatura ρ = 4, 3 km del tunnel esistente. Se

risolviamo l’equazione per questi valori otteniamo B = 5, 4 T , ma nel tunnel di LHC

le particelle vengono curvate solo nelle otto regioni curvilinee (ρ = 2, 8 km) e quindi

i 1232 magneti superconduttori devono produrre un campo B = 8, 3 T , che è il

massimo ottenibile con le attuali tecnologie. I due tubi a vuoto, dal diametro interno

di 56 mm, saranno inseriti in un’unica struttura criostatica che ospiterà i magneti

superconduttori ed i corrispondenti avvolgimenti lunghi 14 metri.

20

Page 29: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

I magneti verranno mantenuti a bassa temperatura con dell’elio 4He portato a

1,9 K nella fase superfluida, che è estremamente efficiente nel raccogliere e portare

via il calore (diversi kilowatt su distanze di più di un chilometro con un aumento di

temperatura di appena 0,1 K).

1.5 Struttura dell’esperimento LHCf

L’esperimento LHCf [1] è costituito da una coppia di piccoli calorimetri elettroma-

gnetici (Arm 1 e Arm 2 di dimensioni 29cm × 9cm × 60cm (lunghezza x larghezza

x altezza) di cui è prevista la collocazione negli assorbitori TAN (Target Absorber

Neutral) a ±140m dal punto di collisione IP1 di ATLAS.

Figura 1.10: Una vista in prospettiva del rivelatore Arm 1, costituito da due torridi calorimetri allineati lungo le diagonali. Nella figura di destra sono mostrati ifotomoltiplicatori e la struttura di sostegno.

Questi calorimetri, che a loro volta sono formati da due torri di convertitori di

tungsteno intervallati da scintillatori plastici e muniti di sistema tracciante, permet-

teranno di discriminare i fotoni dai neutroni, misurare il loro spettro di energia (per

energie >100 GeV), identificare la posizione del centro dello sciame del fotone inci-

dente e ricostruire, per gli eventi che presentino contemporaneamente due fotoni nelle

due torri dello stesso calorimetro, la distribuzione di massa invariante del pione π0

(135 MeV).

21

Page 30: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Le dimensioni trasverse di ogni torre sono ottimizzate per ridurre il numero di

interazioni dovute a particelle multiple e per dare frequenze di conteggio simili.

L’intervallo di energia misurabile va da circa 100 GeV a diversi TeV.

Poiché il raggio di Molière (che è un parametro che quantifica l’allargamento

laterale dello sciame) nel tungsteno è 0,93 cm e quindi è comparabile con le dimen-

sioni trasverse delle torri del calorimetro (da 2 a 4 cm), la fuga di particelle dello

sciame attraverso le pareti laterali del rivelatore influenzerà significativamente la mi-

sura dell’energia dello sciame. E’ stato necessario quindi introdurre nei calorimetri

dei rivelatori sensibili alla posizione (fibre scintillanti per Arm 1 e silicio per Arm

2 ) in modo da correggere (vedi figura 2.1) questo effetto misurando con esattezza

posizione e direzione dell’asse dello sciame (ma anche la forma di quest’ultimo) a

diverse profondità. Osservando la forma laterale dello sciame si può anche discernere

il caso di eventi multipli (cioè iniziati da più di una particella) all’interno della stessa

torre, mentre una buona discriminazione degli sciami adronici (iniziati da neutroni)

da quelli elettromagnetici (iniziati da fotoni) si può avere osservando la distribuzione

longitudinale degli sciami, come si può vedere in figura 1.12. Le due torri di ogni

calorimetro sono separate da una distanza di qualche millimetro per ridurre l’eve-

nienza che parte dello sciame formatosi nell’uno si propaghi nell’altro (2 mm nel caso

di Arm 2 ).

In figura 1.11 è mostrata la tipica distribuzione dello sciame prodotto da un fotone

di alta energia. Come ordine di grandezza il numero di particelle prodotte al massimo

sviluppo dello sciame è dell’ordine di 104 MIP (Minimum Ionizing Particles) per ogni

TeV di energia. Quindi il numero di particelle che attraversano gli scintillatori può

andare da qualche centinaio a decine di migliaia come mostrato in figura 1.12 nei casi

di sciami prodotti da γ o neutroni. Gli strati di scintillatore plastico coprono questo

grande intervallo dinamico (102 ÷ 105MIP ) con sufficiente linearità.

I due calorimetri Arm 1 e Arm 2 di LHCf sono simili, anche se non identici,

per costruzione e geometria allo scopo di avere una ridondanza dei dati al fine di

22

Page 31: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 1.11: L’energia, espressa in MIP, rilasciata da un fotone da 100 GeV e da unada 1 TeV in funzione dello spessore attraversato nella torre piccola di Arm 1.

controllare la coerenza delle misure. In figura 1.15 viene mostrata l’accettanza attesa

per i due rivelatori.

1.5.1 Collocazione

Alla luminosità prevista nella fase di pieno funzionamento di LHC molta energia

viene emessa dalle collisioni anelastiche che avvengono nella regione IP1 (Punto di

Interazione 1). Sono stati quindi previsti degli appositi assorbitori (TAN, Target

Absorber Neutral) per proteggere i magneti superconduttori da questa radiazione e

per prevenire il fenomeno del quenching (nella tecnologia dei magneti supercondut-

tori, il quench si riferisce ad una deriva termica del magnete: l’energia conservata

nel magnete viene rilasciata portando ad un aumento nella temperatura del magnete

stesso). Un quench in uno qualsiasi dei 1232 magneti di LHC, con la conseguente

perdita delle sue caratteristiche di superconduzione, ostacolerebbe il funzionamento

dell’intero acceleratore.

La funzione dei TAN è proprio quella di assorbire le particelle neutre che lasciano

i punti di interazione, principalmente neutroni e fotoni. Ce ne sono due in ogni IR

(Insertion Region), pesanti 30 ton, che alla luminosità di 1034cm−2s−1 assorbiran-

23

Page 32: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 1.12: La curva di deposizione energetica attesa per sciami iniziati da fotoni(nero) e da neutroni (rosso), confrontando n da 4 TeV rispettivamente con γ da 2 TeVa sinistra e da 1 TeV a destra. In ascissa è riportato il numero di particelle attese,mentre in ordinata è indicato il numero di strati del calorimetro attraversati. Si vedecome sia possibile, sfruttando le informazioni fornite dagli ultimi strati, discriminarei fotoni dai neutroni.

Figura 1.13: Simulazione di uno sciame, entrante da destra, prodotto da un fotone dialta energia nel calorimetro al tungsteno Arm 1. Con W vengono indicati gli stratidi tungsteno, Scin corrisponde ad uno strato di scintillatore plastico da 3mm e SciFisono le fibre scintillanti necessarie per determinare il centro dell sciame.

no 210 W ciascuno. Ogni TAN è collocato a ±140m da IP1 oltre i dipoli D1 che

provvedono alla separazione dei fasci. Al suo interno si trova la struttura ad ‘Y’

dove si crea la transizione dall’unico tubo che proviene dalla camera a vuoto dove

si realizza la collisione (vedi figura 1.16) ai due tubi più piccoli che ospitano i fasci

controrotanti. La collocazione prevista per LHCf fa sì che solo le particelle neutre

(neutroni e gamma) arrivino ai calorimetri mentre quelle cariche vengono ‘sterzate’

dal dipolo nell’anello delle beam pipe di LHC. Nello spazio intermedio è presente un

alloggiamento di 100cm× 9, 6cm× 60, 7cm in cui sono normalmente collocati (in as-

24

Page 33: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 1.14: Simulazione di uno sciame, entrante da sinistra, prodotto da un neutronedi alta energia nel calorimetro al tungsteno Arm 1.

Distanza dal centro del fascio [cm]0 1 2 3 4 5 6

Acc

etta

nza

Geo

met

rica

0

0.2

0.4

0.6

0.8

1

rad]µDirezione [0 50 100 150 200 250 300 350 400

Rivelatore #1Rivelatore #2

Figura 1.15: L’accettanza dei due rivelatori Arm 1 e Arm 2.

senza di rivelatori) dieci assorbitori in rame di dimensioni 9, 9cm × 9, 4cm × 60, 5cm

e dal peso di 50,6 kg ciascuno. Il fondo dell’alloggiamento si trova a 6,7 cm al disotto

dell’altezza nominale del fascio (vedi la figura 1.18).

La camera a vuoto posta di fronte al TAN è stata accuratamente progettata per

avere lo spessore esatto di una lunghezza di radiazione nei 10cm×10cm centrati sulla

verticale del fascio. Ciò assicura che un minimo numero di particelle interagisca con

le pareti del tubo a vuoto prima di raggiungere LHCf e anche che non si introduca

un errore sistematico dipendente dalla posizione dello sciame che viene osservato.

La regione di spazio in cui LHCf può raccogliere dati utili è estremamente limitata

e le ragioni sono essenzialmente due:

25

Page 34: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 1.16: A sinistra un’immagine ‘esplosa’ degli assorbitori che circondano il puntodi confluenza dei fasci a 140 metri dal punto di interazione. La struttura a ‘Y’ realizzala transizione da due tubi a vuoto al singolo tubo che porta alla regione di interazionedi LHC. A destra, in azzurro, viene mostrato il punto dove, all’esterno della TAN,verrà sistemata la scatola che ospiterà l’elettronica di acquisizione e controllo.

Figura 1.17: La regione di transizione a forma di ‘Y’ con la posizione dell’alloggia-mento che ospiterà la strumentazione di LHCf. Lo spazio tra i tubi a vuoto è di96mm × 1011mm.

1. la struttura dell’alloggiamento, che prevede una larghezza utile tra i due tubi

di soli 96 mm;

2. l’apertura massima per la quale le traiettorie delle particelle neutre provenienti

da IP1 siano completamente contenute nella camera a vuoto è definita dalla

struttura di quest’ultima all’altezza della regione di dipolo D1 (±84, 5m da

IP1).

In questa regione (D1) la camera a vuoto ha una sezione ellittica di 128mm× 53mm

(orizzontale x verticale). La sua proiezione nel sito di LHCf risulta essere un’ellisse,

centrata sulla verticale del fascio, di 21cm × 9cm circa (vedi la figura 1.18). Le

26

Page 35: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

particelle neutre che entreranno nel TAN fuori da questa regione perciò o saranno

passate attraverso le pareti del tubo o saranno state prodotte fuori da IP1. Queste

limitazioni all’apertura utile per la rivelazione di particelle neutre provenienti da IP1

limita il massimo PT misurabile e l’accettanza a particelle che abbiano xF < 0.1.

Comunque collisioni con un angolo di incidenza del fascio di 140µrad nella direzione

da alto a basso spostano il centro degli sciami delle particelle neutre verso il basso di

circa 2 cm e potranno quindi estendere la regione misurabile di PT di circa il 40%.

La figura 1.21 mostra la correlazione tra Eγ e PTγ. Il calorimetro è stato perciò

progettato per poter essere mosso verticalmente di ±5cm per coprire uniformemente

la regione di PT da misurare.

E’ prevista l’installazione di un contatore che utilizza scintillatore plastico davanti

a ciascun rivelatore di LHCf. Questi contatori verranno montati in posizione fissa

nella parte anteriore all’interno del TAN e serviranno a misurare il flusso delle parti-

celle cariche che entrano nei calorimetri così da permettere di escludere gli eventuali

sciami che inizino dentro il tubo a vuoto e potranno fornire la marca temporale per

identificare il bunch crossing (25 ns).

1.5.2 Arm 1

Il rivelatore Arm 1 consiste in due torri di calorimetri di 24 cm di lunghezza. Le torri

hanno una superficie di 2cm×2cm e di 4cm×4cm e sono allineate verticalmente sulle

diagonali, così come mostrato in figura 1.10. Entrambi i calorimetri sono costituiti da

22 assorbitori di tungsteno spessi 2 lunghezze di radiazione (2 x 3,5 mm) intervallati

da 16 strati di scintillatore plastico (0,3 cm di spessore). Lo spessore totale delle torri

è di 44 lunghezze di radiazione, sufficienti a contenere interamente sciami originati da

fotoni di energia fino a qualche TeV. Il tipo di scintillatore utilizzato (EJ-260, Eljen

Technology [44]) ha un tempo di decadimento di 9,2 ns.

Gli scintillatori misurano l’energia totale depositata e forniscono il trigger di li-

vello 2 al sistema di acquisizione dei dati così come vedremo in seguito. I fotoni

27

Page 36: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

prodotti da ciascuno strato di scintillatore (2 x 16) vengono raccolti da una guida di

luce in acrilico, convogliati su un fascio di fibre ottiche e quindi letti da piccoli tubi

fotomoltiplicatori (PMT) Mod. Hamamatsu R7400U. Tipicamente ogni MIP (Mini-

mum Ionizing Particle) libera circa 10 fotoelettroni per strato. I segnali in uscita dai

PMT vengono preamplificati, amplificati di un fattore circa 10 e poi inviati alla sala

di controllo posta in USA15 (che è anche la counting room di ATLAS ed è collocata

in corrispondenza del punto di interazione IP1).

Per identificare la posizione dell’asse di uno sciame e per risolvere la posizione di

sciami multipli nello stesso calorimetro sono inoltre presenti 4 strati di rivelatori di po-

sizione X-Y costituiti da fasci di fibre scintillanti (SciFi) di sezione 1mm×1mm posti

alle distanze di 6, 10, 32 e 38 lunghezze di radiazione e che forniscono informazioni

sulla posizione laterale dello sciame. I primi due strati servono per l’identificazione

del centro dello sciame elettromagnetico, mentre quelli più distanti identificano il

centro degli sciami iniziati da neutroni. La risoluzione spaziale ottenibile con l’utiliz-

zo delle fibre scintillanti e con cui si potrà identificare il centro del fascio è prevista

essere di 200 µm. Le fibre sono 160 per la torre piccola e 320 per la grande e sono

lette da 8 fotomoltiplicatori multi-anodo (MAPMT) Mod. Hamamatsu H7456. I due

calorimetri sono alloggiati in una struttura portante di 9cm × 60cm × 29cm insieme

ai 32 PMT, agli 8 MAPMT e all’elettronica di front-end.

La struttura è montata su un manipolatore che le permette di muoversi di ±5cm in

verticale (la forma del rivelatore Arm 1 è stata ottimizzata per il caso in cui esso

venga mosso verticalmente o che venga realizzato un angolo di collisione del fascio di

140µrad).

1.5.3 Arm 2

Anche la struttura del rivelatore Arm 2 consiste in due torri di calorimetri di 24 cm

di lunghezza in cui però il sistema tracciante è costituito da rivelatori a microstrisce

di silicio sviluppati in origine dal gruppo LHCf di Firenze (vedi il capitolo 2.2).

28

Page 37: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Le torri hanno una superficie di 2, 5cm × 2, 5cm e 3, 2cm × 3, 2cm, e sono allineate

lungo i bordi anziché in diagonale e sfalsate di 2 mm in entrambe le direzioni. La

torre piccola è quasi centrata sul fascio, mentre la grande è usata per coprire parte

della regione utile che è costituita dall’intersezione tra le dimensioni dell’alloggiamen-

to nella TAN e la proiezione del tubo a vuoto in D1 (vedi figura 1.18). Le dimensioni

e l’orientamento sono diversi rispetto al rivelatore Arm 1 perché sono state proget-

tati tenendo in considerazione anche le dimensioni del sistema tracciante. Questa

configurazione inoltre, aumenta la regione di PT accessibile e fornisce informazioni

ridondanti da confrontare con quelle del rivelatore Arm 1.

Figura 1.18: Sezione frontale del rivelatore Arm 2. In blu è mostrata la proiezionedel tubo a vuoto, cioè il principale limite all’accettanza di LHCf.

Verranno installati quattro doppi strati di rivelatori al silicio posizionati alle di-

stanze di 6, 12, 30 e 42 lunghezze di radiazione che serviranno per determinare il

punto di impatto della particella allo scopo di migliorare la calibrazione dell’energia e

di discriminare il caso dei due fotoni rispetto al singolo fotone incidente. Osserviamo

come nessuna microstriscia del rivelatore al silicio (orientate nelle direzioni X e Y)

29

Page 38: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

copra contemporaneamente entrambi i calorimetri. La parte del calorimetro a scin-

tillazione ha la stessa struttura longitudinale e sistemi di acquisizione simili a quelli

del rivelatore Arm 1.

Scin

till

ato

re F

ronta

le

Rivelatore No. 2

0 100 200 300

010

020

030

040

050

0

PMT

R74

00

Tra

ccia

tore

al S

i + I

brid

o

35mm*35mm + 25mm*25mm

vista dall’alto dei PMT

vista frontale del rivelatore

Figura 1.19: Prospetto schematico laterale del rivelatore Arm 2 con riportate lemisure in millimetri. Sono riportate anche la vista dall’alto dei fotomoltiplicatori ela vista frontale delle due torri di calorimetri.

1.5.4 Il trigger

Saranno utilizzati due livelli di trigger : LV1 e LV2 e il loro percorso viene mostrato

in figura 1.20.

Il trigger di livello 1, LV1, scatta quando c’è il segnale di un pacchetto occupato

(specialmente all’inizio del funzionamento di LHC non tutti i pacchetti della macchina

saranno riempiti con protoni). LV1 apre un gate sull’ADC degli scintillatori plastici.

30

Page 39: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 1.20: Diagramma schematico del percorso dei segnali di trigger : il trigger dilivello 1 (LV1) proviene da un segnale di pacchetto occupato, il trigger di livello 2(LV2) si forma dalla coincidenza del segnale di tre strati adiacenti di scintillatore.

Il trigger di livello 2, LV2, viene formato dalla coincidenza del segnale (pari almeno

a quello generato da un fotone da 100 GeV al massimo dello sciame) proveniente

da tre strati adiacenti di scintillatore. Il trigger LV2 viene quindi inviato al FEC

(Front-End Controller) delle fibre SciFi per comandare all’ADC una conversione del

segnale proveniente dai MAPMT, tubi fotomoltiplicatori multi-anodo.

La coincidenza tra questi due trigger LV1 e LV2 viene inviata al FEC (Front End

Controller) del sistema tracciante al silicio dove viene codificato assieme al clock di

LHC (vedi il capitolo 3.2).

Se il trigger LV2 non ‘scatta’ entro il tempo di time-out dopo il trigger LV1, allora

un segnale di clear viene inviato all’ADC. I due computer (basati sul sistema operativo

Linux) dedicati all’acquisizione dei dati cominciano a registrare eventi quando un

trigger di LV2 ‘scatta’ in uno dei due rivelatori. Viene anche registrato il tempo che

intercorre tra i trigger LV1 e LV2 per ogni rivelatore di LHCf. Un terzo computer

ricostruirà gli eventi a partire da questi dati. L’intera sequenza del trigger si conclude

31

Page 40: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

entro 2µsec.

A causa dei tempi morti per l’acquisizione dei dati la massima frequenza del trigger

per LHCf è di 1 kHz. Quando la luminosità di LHC supererà i 1029cm−2s−1, LHCf

potrà ancora prendere misure fintanto che l’intervallo tra pacchetto e pacchetto sarà

di almeno 2µsec. La coincidenza dei trigger LV2 provenienti da entrambi le torri di

uno stesso calorimetro è la probabile firma di un evento originato dal decadimento di

un π0.

1.5.5 L’acquisizione e l’analisi dei dati

LHCf è stato progettato per funzionare a bassa luminosità e a 43 pacchetti per fascio

e ci vorranno solo poche ore per avere i risultati relativi a 10000 eventi originati da

π0. Un riassunto delle principali condizioni di funzionamento del fascio durante la

presa dati di LHCf è riportato in tabella 1.2. I flussi attesi di particelle neutre con

energia oltre i 100GeV sono circa di 0,02 (0,008) neutroni per cm2 al centro del fascio

(3 cm sopra) per ogni interazione p-p.

La principale fonte di eventi di fondo è costituita dalle interazioni del fascio con

il gas residuo presente nella beampipe e dalle interazioni dell’alone del fascio con le

pareti del tubo [28]. Si prevede che la componente di rumore dovuta al gas sia tra-

scurabile (< 1%), sempre che siano rispettate le attuali previsioni di una densità

residua di gas equivalente a 4 × 1012H2/m3. Comunque, anche se le condizioni del

vuoto saranno significativamente peggiori di quanto stimato, le interazioni del fascio

col gas potranno essere efficacemente rimosse selezionando gli eventi che ricostruis-

cono la massa invariante del π0. Coincidenze temporali tra i rivelatori Arm 1 e Arm

2 possono anche essere utili per identificare le collisioni fascio-fascio e sopprimere il

fondo delle interazioni fascio-gas. Secondo la nostra stima anche il fondo dovuto alle

interazioni dell’alone del fascio con le pareti sarà trascurabile. In caso contrario sarà

possibile adottare una strategia simile a quella appena descritta.

32

Page 41: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 1.21: Correlazione tra E e PT . I fotoni di alta energia con PT piccolo pos-sono essere rivelati dagli scintillatori. La curva in rosso deriva dal taglio geometricoimposto dalla proiezione della camera a vuoto sul luogo ove è posto il rivelatore. Ifotoni che stanno nell’area sotto la curva possono essere rivelati. Osserviamo comequasi tutti i fotoni aventi energia maggiore di 1 TeV possano essere ‘visti’ da LHCf.

1.5.6 Operatività di LHCf

Nella prima fase di funzionamento di LHC è previsto che i fasci si incrocino con un

angolo di incidenza uguale a zero e che ci siano 43 pacchetti per fascio, cioè ci saranno

circa due µsec di intervallo tra due pacchetti successivi.

Per misurare la sezione d’urto è necessario operare a luminosità abbastanza bassa

in modo che la probabilità di avere eventi multipli sia piccola. Richiedendo che

essa debba essere minore dell’1% si trova (per una sezione d’urto di 80 mb) che la

luminosità per pacchetto dovrebbe essere minore di 2 × 1028cm−2s−1 e quella totale

minore di 8, 6 × 1029cm−2s−1. La tabella 1.2 riassume i parametri di LHC previsti

nei vari periodi di funzionamento di LHCf.

E’ stato proposto di far funzionare LHCf in tre fasi:

33

Page 42: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Parametri del fascio preliminare fase 1 fase 2Tipo di collisione p-p p-p Pb-PbPacchetti per fascio 43 2808 592Angolo di impatto 0 2 × 142, 5µrad 2 × 142, 5µradSeparazione tra i pacchetti 2µsec 25nsec 0, 18µsecLuminosità totale (cm−2s−1) < 0, 8 × 1030 1, 0 × 1034 1, 0 × 1027

No. di particelle per pacchetto < 1 × 1010 1, 15 × 1011 7 × 107

Tabella 1.2: Riassunto dei parametri del fascio di LHC nelle varie fasi difunzionamento di LHCf.

Fase 1

Questa fase avrà luogo durante il funzionamento iniziale di LHC a bassa luminosità a

43 pacchetti equispaziati per fascio, zero angolo di incidenza, e luminosità inferiore a

1030cm−2s−1. Le operazioni della fase 1 avranno presumibilmente luogo alla fine del

2007, o agli inizi del 2008, a seconda del completamento di LHC. All’inizio il rivelatore

sarà sistemato al centro del fascio e durante il funzionamento di LHC a singolo fascio

prenderà misure utili a stimare la qualità del vuoto osservando le collisioni tra fascio

e gas.

In seguito si troverà la posizione del centro delle particelle neutre che arrivano

nel TAN sfruttando i sistemi traccianti dei calorimetri Arm 1 e Arm 2 che hanno

rispettivamente una risoluzione di 200 e 15 µm. Saranno fatti i primi tentativi di

discriminare tra sciami indotti da fotoni e da neutroni e di costruire una distribuzione

di massa invariante per eventi che colpiscano entrambe le torri di un calorimetro. Man

mano che la fase preliminare di funzionamento di LHC procederà e si arriverà alla

luminosità di 1029cm−2s−1 LHCf sarà pienamente operativo e la frequenza di eventi

sarà tale da poter ottenere un numero sufficiente di dati in pochi giorni.

Poiché i rivelatori non sono sufficientemente resistenti alla radiazione da sostenere

luminosità maggiori di 1030cm−2s−1 essi saranno rimossi quando la luminosità verrà

ulteriormente aumentata. Si stima che la dose assorbita dai rivelatori LHCf sia mi-

nore di 10−3 ÷ 10−2mSv/hr (a 30 giorni di funzionamento a 1030cm−2s−1 e uno di

raffreddamento) e perciò che non ci sia la necessità di speciali procedure di rimozione

a distanza. Dopo la presa dati i rivelatori di LHCf saranno rimpiazzati con tre barre

34

Page 43: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

di rame.

LHCf può funzionare anche come monitor di luminosità per luminosità inferiori

a 1030cm−2s−1 e, selezionando solo gli eventi che producano la massa invariante del

π0, il segnale di luminosità di LHCf sarà particolarmente pulito e libero da fondo. Le

misure di luminosità di LHCf saranno molto utili alle operazioni di LHC durante le

fasi iniziali del suo funzionamento e per calibrare gli altri monitor. Con un’accettanza

di 10−3 per gli eventi con π0 e una frequenza massima di acquisizione dei dati di 1kHz

il tempo di integrazione per una misura di luminosità accurata al 10% sarà di 100

sec a L > 1028cm−2s−1. La fase 1 delle operazioni di LHCf non comporterà alcuna

richiesta particolare per le caratteristiche del fascio.

Fase 2

La fase 2 è prevista quando LHC opererà a 1028cm−2s−1 per l’esperimento TOTEM

[37], forse nel 2008. In tale occasione la collaborazione LHCf propone di reinstallare

i suoi rivelatori e di rimuoverli allorché la luminosità ritornerà a 1030cm−2s−1.

E’ possibile che durante la fase 2 venga richiesto del tempo per muovere la po-

sizione di uno dei rivelatori e per operare a un angolo di uno dei fasci di 140µrad

verso il basso allo scopo di estendere l’intervallo di momento trasverso accessibile.

Alla fine della fase 2, e prima che LHC ritorni ad operare ad alta luminosità, LHCf

sarà rimosso e rimpiazzato definitivamente dalle tre barre di rame.

Fase 3

Durante il funzionamento di LHC con collisioni di ioni pesanti potrà avere luogo la

fase 3. Prima di ciò i rivelatori di LHCf dovranno essere migliorati per sostenere

alte dosi di radiazione (ad eccezione dei rivelatori a microstrisce e dell’elettronica di

front-end che già sono rad-hard). Poiché la composizione dei raggi cosmici, oltre che

da protoni, è formata anche da nuclei sarebbe di estremo interesse osservare gli effetti

delle collisioni nucleo-nucleo.

35

Page 44: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

36

Page 45: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Capitolo 2

Il sistema tracciante del rivelatore

Arm 2

In questo capitolo descriviamo l’elettronica di front-end, cioè il sistema costituito

dai silici, dal kapton e dagli integratori-formatori (PACE3), più tutta l’elettronica

accessoria del sistema tracciante del rivelatore Arm 2.

Il sistema tracciante del rivelatore Arm 2 utilizza sensori a microstrisce di silicio

[47] [46] ed un’elettronica di acquisizione sviluppati nell’ambito di altri esperimenti

di LHC (ATLAS, CMS etc) (vedi paragrafo 1.4). Abbiamo cioè beneficiato delle

ricadute della grossa attività di ricerca e di sviluppo fatta per realizzare i rivelatori

al silicio che saranno utilizzati nei vari esperimenti di LHC.

Dal canto nostro abbiamo progettato l’elettronica di front-end in modo che fosse

capace di funzionare alla frequenza di fascio di LHC di 40,08 MHz ed in un am-

biente radioattivo. Per quanto riguarda l’elettronica di ricezione e di controllo, che

viene ospitata nella Counting Room, non è stato invece necessario prevedere alcuna

particolare caratteristica di resistenza alla radiazione.

37

Page 46: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

2.1 Importanza del sistema tracciante

Come abbiamo potuto vedere nei paragrafi 1.5.2 e 1.5.3 dedicati alla descrizione

dei due rivelatori Arm 1 e Arm 2 le dimensioni trasverse delle torri dei calorimetri

sono di pochi centimetri quadrati, quindi la probabilità di perdere parte dello sciame

dalle pareti laterali dei calorimetri è significativa. Questa perdita può essere corretta

utilizzando l’informazione sulla posizione dell’asse dello sciame fornita dai rivelatori

a fibre scintillanti (in Arm 1 ) o dai tracciatori al silicio (in Arm 2 ). Nella figura 2.2

osserviamo come si possa ottenere una buona ricostruzione del centro dello sciame

quando l’energia della particella sia maggiore di 100 GeV.

Figura 2.1: Una stima dell’effetto ‘bordo’ ottenuta con il metodo Monte Carlo. Ilrisultato mostra che se anche i fotoni entrano a 2 mm dal bordo del calorimetro,l’energia dei fotoni può ancora essere misurata se si applicano le opportune correzioni.

La risoluzione in energia ottenibile risulta essere dell’1, 2% + 3%/√

E(TeV ) per

Arm 1 e comparabile, se non addirittura migliore, per Arm 2 grazie alla migliore

risoluzione spaziale del tracciatore al silicio (vedi anche la figura 2.1).

La calibrazione del valore assoluto dell’energia può essere fatta utilizzando il picco

corrispondente alla massa del π0 e la risoluzione che ci aspettiamo è di circa il 5%

(vedi figura 2.3). Tenuto conto della maggiore risoluzione ottenibile con il traccia-

tore al silicio anche questa misura potrà essere fatta con maggiore precisione. La

risoluzione dell’energia per sciami adronici è attesa essere di circa il 30% a 6 TeV a

causa della perdita di parte dello sciame fuori dal calorimetro, sempre che ci sia stata

un’interazione nelle prime 6 lunghezze di radiazione del calorimetro. Inoltre il sistema

38

Page 47: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 2.2: La risoluzione spaziale in funzione dell’energia prevista per il nostrosistema tracciante.

tracciante permette di discriminare quegli eventi in cui più di una particella abbia

interagito nella stessa torre e che falserebbero la misura dello spettro di energia.

Massa Invariante [MeV]0 50 100 150 200 250 300

cont

eggi

[#/M

eV]

0

100

200

300

400

500

Figura 2.3: Simulazione della distribuzione di massa invariante a 135 MeV di duefotoni derivanti dal decadimento di un π0. Tale simulazione è stata fatta per la torredel calorimetro che ha il tracciatore a fibre scintillanti e prevedendo una risoluzionedi energia del 5% con una risoluzione della posizione dei fotoni di 200µ.

2.2 I sensori a microstrisce di silicio

Ogni coppia di sensori al silicio è costituito da due strati, quasi quadrati e ruotati di

90, che misurano le coordinate X e Y della posizione delle particelle dello sciame.

39

Page 48: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

1 10140

140

200

200

~300

230

300

500

~80

0465

550

460

550

80

5050

200

150

200

460

200

150

200

515

980

350

56

60

50

80

230 870 800

6

Strip Number (25 µm high)Strip marks

Fiducial

Mark A

Mark B

Mark C

EdgeContact

pads

Guard ring

Bias ring

BiasConstact

pads

AC pads(56 x 200)

DC pads(50 x 60)

Bias resistors(1.25±0.75 MΩ)

Implant edge

Punch−thru protection gap(Implant−Implant 6 µm)

Field−shaping and charge−control strip

(AC Metal to be grounded)

Strip #1 (of 768 strips)

Edge contact probing pads

Edge sensor

Figura 2.4: A sinistra una foto e a destra un disegno di una parte della superficiedel sensore in cui si possono notare le microstrisce, i pad per il bonding e gli anelli diguardia.

Il sensore da noi utilizzato viene prodotto dalla Hamamatsu Photonics ed è stato

sviluppato dalla collaborazione SCT (silicon microstrip Semiconductor Tracker) [46]

per essere utilizzato nel sistema tracciante dell’esperimento ATLAS (ATLAS/SCT

modello S8536-02B). Per l’esperimento originario ne sono stati prodotti e montati

circa 20.000. Il sensore è a singola faccia, ha uno spessore di 285 ± 15 µm ed una

superficie di 6, 3560×6, 3960 cm2 (che sono le dimensioni massime ottenibili a partire

da un wafer da 4”). Il pitch (cioè la distanza tra due piste adiacenti) è di 80µm e il

numero totale di microstrisce presenti su un sensore è di 768 più due strisce esterne che

sono usate per il field-shaping del campo elettrico nel bulk del sensore. Le microstrisce

sono allineate parallelamente al lato più lungo e la loro lunghezza utile è di 62 mm.

Quindi la regione sensibile in cui le microstrisce sono fisicamente impiantate ed in

cui la carica prodotta dalle particelle ionizzanti può essere raccolta ha dimensioni

6, 1680× 6, 2000 cm2.

I sensori sono realizzati attraverso l’impianto di microstrisce di silicio di tipo p+

sulla faccia superiore di un substrato (bulk) di silicio di tipo n. La passivazione del

40

Page 49: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

1 768

1 768

Bias resistors (1.25±0.75 M Ω)

Row A

Row B

Row C

Row D

Bias pads

Stereo fiducial marks 98

DC contact pads

0

769

a

abb

c

Bias ring

230

300

500

100

230250

110061360(strip1to768)1100

63560 (width)

980

615

350

660

350

9025

2000

020

000

9025

350

660

350

615

980

6200

0(st

rip le

ngth

)

6396

0 (

leng

th)

5980

3490

(min

)~ 4

190(

max

)

Figura 2.5: Layout del sensore al Silicio. Le dimensioni dei pad per il microbondingsono di 56µ × 200µ.

41

Page 50: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

lato giunzione è realizzato per mezzo di uno strato di SiO2 sul quale sono deposi-

tate le strisce di lettura in metallo che formano due piazzole di 56 × 200 µm alle

estremità. L’effetto è quello di creare dei condensatori di disaccoppiamento integrati

direttamente sul sensore. Le resistenze di bias (1, 25 ± 0, 75MΩ) sono resistenze di

polisilicio che sono connesse all’anello esterno (bias ring).

Figura 2.6: Schema di funzionamento di un sensore a microstrisce al silicio. Nelnostro caso P = 80µm e W = 25µm.

Il transito di una particella porta alla creazione di coppie elettrone-lacuna generate

in un cilindro avente per asse la direzione della particella e un diametro di qualche

micron. Per creare una coppia elettrone-lacuna nel silicio sono necessari 3.67 eV,

l’energia media persa da una MIP (Minimum Ionizing Particle) nel silicio è di circa

390 eV/µm [13], e quindi ne segue che in uno spessore di 285 µm si dovrebbero

formare circa 30900 coppie. In realtà la distribuzione della perdita di energia non è

simmetrica ma presenta una coda per alti valori della carica rilasciata. Il valore più

probabile è di 288 eV/µm corrispondente a circa 22800 coppie (3,5 fC).

Il valore indicativo per la tensione di completo svuotamento è di 50-100 Volt, ma

a seguito dell’irraggiamento che cambia la natura del bulk costituito da silicio di tipo

n in silicio di tipo p, questo valore può aumentare fino a centinaia di Volt.

La tensione di polarizzazione necessaria allo svuotamento del silicio viene filtrata

42

Page 51: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

attraverso un filtro passa-basso con la configurazione a ‘Pi greco’, cioè con una re-

sistenza in serie (100 kΩ) e due condensatori in parallelo collegati a terra (100 nF).

La corrente di buio è stata misurata ed è risultata essere di circa 140 nA.

Per acquisire il segnale proveniente dai nostri rivelatori a microstrisce abbiamo

utilizzato degli integratori-formatori veloci (circa 25 ns, vedi al successivo paragrafo)

ed un’architettura per la distribuzione del clock e del trigger basata sul sistema TTC

(Trigger and Timing Control) del CERN (vedi il paragrafo 3.2).

2.3 Il PACE3

Figura 2.7: La foto mostra il PACE e il Delta così come stanno nel chip.

Ogni PACE3 (Preshower Analog CMS Electronics) [50] è in realtà composto da

due ASIC (Application Specific IC ) impacchettati in un unico package di tipo BGA

(Ball Grid Array) a 196 pin. Il primo è il chip Delta che contiene l’integratore di

carica, il formatore (che ha circa 25 ns di peaking time) e il circuito di calibrazione.

Il secondo è il chip PACEAM che contiene la memoria analogica composta da 32x192

celle (che campiona il segnale a 40 MHz), gli amplificatori ed il multiplexer necessario

per presentare i dati all’uscita. Entrambi i chip gestiscono 32 canali e possiedono una

distinta interfaccia I2C che permette di accedere ai registri interni e modificare le

43

Page 52: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

impostazioni. Il PACE3 è stato diviso in due diversi ASIC allo scopo di minimizzare

l’accoppiamento, che può avvenire attraverso il substrato, del clock di campionamento

della memoria analogica presente sul PaceAM con i circuiti presenti sul Delta.

MUX32:1

Track&

Hold

Cal

DeltaVPreamp

VShaperHG

VMemRef

VMemRefVShifter

VOutBufAnOut_Neg

AnOut_Pos

VADCCM

Delta

PACEAM

Dallemicrostrisce

&Preamplificatore

LCC

FormatoreBuffer

circuit

Verso ilPACE_AM

DalDelta

Cella di memoria Amplificatore Traslatoredi livello

Buffer di uscitadifferenziale

Figura 2.8: Il percorso dei dati analogici attraverso Delta e PaceAM.

Una caratteristica peculiare del PACE3 è l’intervallo dinamico molto esteso (da

0,35 fC fino a oltre 2 pC) che viene ottenuto attraverso l’utilizzo di due diversi gua-

dagni, selezionabili dall’utente attraverso l’interfaccia I2C. Gli ASIC sono stati rea-

lizzati con una tecnologia sub-micron (0,25 µm) che consente loro di resistere a dosi

di radiazione fino a 14 MRad senza subire significative degradazioni delle prestazioni.

In figura 2.9 si vede come la risposta del chip sia perfettamente lineare fino a 1,4 pC

con una non linearità inferiore al 6% per cariche fino a 2 pC. Il consumo dichiarato

è di 10 mW in sleep-mode e di 650 mW in run-mode a 2,5 V.

44

Page 53: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 2.9: Andamento della risposta del PACE3 da cui si osserva un comportamentolineare per cariche fino a 1,4 pC.

Figura 2.10: Andamento del segnale formato dal Delta in risposta a diverse iniezionidi carica.

45

Page 54: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

2.3.1 Caratteristiche

Il PACE3 [50] ha al suo interno una memoria analogica di 32 x 192 celle che per-

mette, al momento del ricevimento di un trigger, che nel nostro caso proviene dal

trigger di secondo livello (LV2), di conservare, per il cosiddetto periodo di latenza,

i segnali relativi alla carica depositata in tutti e 32 i canali per tre campionamenti

consecutivi separati da 25 ns. I 32 canali della memoria analogica corrispondono alle

32 strisce del sensore al silicio che vengono lette e le 192 colonne sono divise in: 128

campionamenti consecutivi (che permettono la latenza massima prevista di 3, 2µsec

a 40 MHz) + 48 (profondità della FIFO) + 16 di spazio extra disponibile (head-

room). L’architettura del PACE3 permette di selezionare i dati relativi al trigger

voluto utilizzando i loro indirizzi di colonna memorizzati nella FIFO. La profondità

della FIFO è di 48 locazioni e quindi possono essere simultaneamente conservati in

attesa della lettura i dati corrispondenti a 16 trigger. Infatti ad ogni trigger vengono

inviati tre campionamenti successivi (3 x 16 = 48 locazioni necessarie). Durante

una lettura del PACE i campioni analogici (32 canali x 3 colonne) vengono inviati

in modo differenziale insieme ai rispettivi indirizzi di colonna: otto bit serializzati

sulla linea ColumnAddress. Esiste anche una flag (AlmostFull) che indica quando il

PACEAM ha 15 eventi conservati in attesa di essere scaricati ed è quindi prossimo

alla saturazione della memoria analogica.

Figura 2.11: I range delle tensioni.

Le uscite differenziali analogiche del PACE3 corrispondono nei valori di default

46

Page 55: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

(che sono comunque modificabili attraverso appositi registri) all’intervallo accettato

dal convertitore analogico-digitale AD41240, usato, come vedremo, sulla scheda di

digitalizzazione FED: con un intervallo differenziale di 1, 8 Vpp, centrato intorno ad

un valore medio di 1, 25 V (common-mode) (vedi figura 2.11).

2.3.2 Funzionamento

AnOut

ADCCM

DecoderCalibrazione

DAC

Registri

Decoder

DAC

Registri

3232

DataValid

PACE−AM

FIFO

ReadAmps.

buffer

3232

AFull

Filter

LCC

Pre−amp.

Delta

32 32Filter

LCC

Pre−amp.

Delta

32 32

RESETh RESETsRESETh

LVDSLVDSPowerOn I2C LVDS inputs I2C

ReSynchClk, LV1 SCL SDASCL SDA

RESETsCalPulse

ColAdd

Power On reEncoder

Read Register

192 x 32

Decoder

Write RegisterSkip Logic

Matrice di memoria analogica

Logica di Controllo

DAC & registri

Mul

tiple

xer

Sequencer

Diff

Figura 2.12: Schema dei segnali del PACE.

Ogni PACE3 riceve dal FEC (Front End Controller) quattro segnali in formato

LVDS (vedi figura 2.12), e cioè:

1. il master clock a 40,08 MHz (MCLK);

2. il trigger ;

3. un reset sincrono (ReSynch);

4. un impulso temporale di calibrazione (CalPulse).

Sono presenti anche due segnali di reset, uno hard ed uno soft, questa volta di tipo

unipolare (LVCMOS) e provenienti sempre dal FEC. Dopo aver ricevuto un segnale di

trigger i PACE3 mandano in uscita i valori immagazzinati in una delle colonne della

memoria analogica identificata dalla latenza impostata in uno dei registri. Questa

operazione viene ripetuta tre volte.

47

Page 56: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Un segnale DataValid indica quando dati validi vengono inviati dal PACE3 verso

l’ADC (vedi figura 2.13). Ogni dato relativo ad uno dei 32 canali viene presentato in

uscita per un periodo di 50 ns ed è in fase al clock di 40 MHz.

PAC

E_C

LK

LV

1

Dat

aVal

id

Col

Add

r

Ana

logO

ut

8 co

lpi d

i clo

ckdi

clo

ck1

colp

o

20 c

olpi

di c

lock

19 c

olpi

di c

lock

64 c

olpi

di c

lock

MSB

LSB

MSB

Ch

1C

h 32

Ch

2C

h 3

Ch

31

92 c

olpi

di c

lock

276

colp

i di c

lock

PAC

E_C

LK

LV

1

Dat

aVal

id

Col

Add

r

Ana

logO

ut

Figura 2.13: Frame di uscita del PACE3.

48

Page 57: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Il PACE3 ha due modi di funzionamento: uno sleep-mode ed un run-mode. Il

primo modo è quello in cui entra il componente all’accensione, oppure dopo un hard-

reset. Il secondo è il modo normale di funzionamento e prevede un maggior consumo

di corrente da noi misurato di 150 mA.

2.4 La DCU

Figura 2.14: Schema a blocchi della DCU.

La DCU (Detector Control Unit) [51] è un ASIC utilizzato nel Tracker di CMS

[39] per monitorare parametri come la tensione di alimentazione, la corrente assorbita

dal sensore e la temperatura dell’ibrido. La DCU contiene i seguenti componenti:

• un’interfaccia seriale basata sul protocollo I2C;

• un riferimento di tensione a band-gap;

• un multiplexer analogico;

• un generatore di corrente costante da 10 µA e uno da 20 µA connesso interna-

mente ad uno degli ingressi del multiplexer.

49

Page 58: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

• un ADC a 12 bit che utilizza una tensione di riferimento generata internamente;

• un sensore di temperatura;

I due generatori di corrente di 10 µA e 20 µA possono essere utilizzati per pilotare

dei termistori esterni per misure di temperatura. Viene impiegata una DCU ogni sei

PACE3 nell’ibrido FEH di LHCf per monitorare, oltre alle tensioni e alla temperatura,

anche i DAC interni dei PACE3. Il consumo è inferiore a 40 mW con una tensione di

alimentazione di 2,5 V.

2.5 L’ibrido

Figura 2.15: I due semiibridi nella posizione finale.

Dato il numero di strisce che devono essere lette per ogni sensore (384, cioè

una ogni due), ogni FEH (Front End Hybrid) utilizza 12 chip PACE3 (ogni PACE3

gestisce 32 ingressi). I PACE3 vengono montati a gruppi di sei su delle schede denomi-

nate ‘semiibridi’ di cui esistono due versioni: sinistro e destro (vedi figura 2.15). Tutti

i componenti (due ibridi più un silicio) trovano poi posto in una struttura metallica

(vedi paragrafo 2.6) che ne assicura la rigidità meccanica. Un FEH è quindi diviso in

due semiibridi indipendenti capaci di leggere 192 strisce e ogni semiibrido (destro e

sinistro, vedi figura 2.15) è costituito da una PCB (Printed Circuit Board) multistra-

to, che ospita i chip e i componenti passivi, e da un adattatore su kapton che porta

50

Page 59: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

i segnali dal sensore al silicio ai PACE3. Il disegno e la costruzione degli ibridi sono

stati realizzati a cura del Servizio di Elettronica della sezione di Firenze dell’INFN.

L’adattatore su kapton viene incollato dal lato della PCB mentre le connessioni alle

microstrisce di silicio vengono microsaldate con un sistema ad ultrasuoni. Su ogni

semiibrido, insieme ai chip PACE3, è stata montata anche una DCU (Detector Con-

trol Unit), descritta al paragrafo precedente, che serve a monitorare la temperatura

dell’ibrido, le tensioni e le correnti dei DAC interni al PACE. Inoltre sono stati usati

dei buffer LVDS e CMOS per ‘ripulire’ i segnali logici di controllo.

2.6 Il modulo

Figura 2.16: Due immagini esplose della struttura metallica che ospita l’ibrido e ilsilicio. Le due immagini mostrano lo stesso modulo visto da due angolature diverse.In nero sono indicati gli assorbitori di tungsteno, mentre i rivelatore al silicio sonocolorati di rosso per la vista Y e di verde per la vista X.

All’interno di Arm 2 i silici vengono inseriti in apposite strutture in alluminio

di dimensioni 23,5 mm x 80,5 mm x 317,5 mm (spessore x larghezza x altezza) che

contengono anche uno strato di convertitore al tungsteno ed ospitano al loro interno

tutta l’elettronica di front-end. In figura 2.17 viene mostrata la fase di assemblag-

gio del layer, mentre in figura 2.16 sono riportate le immagini ‘esplose’ del layer

contenente lo strato X e quello contenente lo strato Y. Queste strutture, oltre al

51

Page 60: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

necessario supporto meccanico, definiscono anche la posizione del silicio rispetto al

calorimetro con una precisione di 0,1 mm. Inoltre trasportano all’esterno il calore

generato dall’elettronica.

Figura 2.17: Il modulo in fase di costruzione: si possono osservare la coppia disemiibridi sinistro e destro, il kapton ed il silicio inseriti nella struttura metallicadi supporto temporaneo utilizzata per permetterre l’assemblaggio, l’incollaggio e ilmicrobonding del kapton e delle strip.

52

Page 61: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Capitolo 3

Il processo di acquisizione e controllo

Figura 3.1: Schema dei principali segnali che collegano il FED ai componenti delfront-end.

I moduli descritti nel capitolo precedente sono connessi direttamente ad una sche-

da chiamata FED (Front-End Driver) che provvede sia a digitalizzare i dati analogici,

sia a trasmettere i segnali di controllo digitali al Front-End. I dati digitalizzati ven-

53

Page 62: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

gono poi trasmessi alla sala controllo. La scheda FED viene installata in prossimità

del calorimetro a circa un metro di distanza. Il sistema di acquisizione dati completo

consiste nelle otto schede FED come quella oggetto della presente tesi più una scheda

DOHM che ospita i DOH (Digital Opto-Hybrid). Queste schede saranno installate in

un mini-crate VME che verrà montato sulle pareti esterne del TAN, vicino al rive-

latore. Nel percorso dalla sala di controllo alle CCU (>140 metri) utilizzeremo delle

fibre ottiche per evitare di raccogliere interferenze (vedi il paragrafo 3.1).

Cominciamo a descrivere la parte di controllo della scheda FED: essa svolge an-

che la funzione di controllare il funzionamento e di distribuire i comandi a tutta

l’elettronica di front-end. E’ proprio da questa parte che partiremo.

3.1 Il Control Ring

Il nostro sistema di controllo, mutuato da quello del Tracker di CMS [38] [39], uti-

lizza una topologia ad anello configurata come una LAN (Local Area Network) [61].

Esso invia pacchetti di dati dal FEC verso l’elettronica di controllo presente sulla

motherboard e viceversa.

3.1.1 Caratteristiche

Un modulo chiamato FEC (Front-End Controller) che si trova in un PC presente in

counting room, è il master del network e usa due fibre ottiche per mandare i segnali

di clock e i dati a un modulo slave (il DOH, Digital Opto-Hybrid) il quale a sua volta

utilizza due fibre ottiche per trasmettere il clock e i dati di ritorno. Questo canale di

trasmissione dei dati è sincronizzato alla frequenza di clock di LHC (40,08 MHz) ed

ha quindi una capacità di trasmissione dei dati di 40 Mbit/sec. L’anello di controllo

veicola le seguenti informazioni:

1. il segnale di clock di LHC, trasmesso su una delle fibre ottiche;

2. i segnali tipo trigger codificati sul clock così come spiegato al paragrafo 3.2;

54

Page 63: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 3.2: Struttura dell’anello di controllo con indicazione dei segnali che vengonotrasmessi e dei suoi costituenti fondamentali. Le conversioni da ottico a elettrico eviceversa vengono fatte dal DOH. Non viene descritta la ridondanza (CCUM sta perCCU Module).

3. i pacchetti di dati diretti alle CCU, trasmessi sull’altra fibra ottica;

4. un segnale di reset da inviare all’elettronica di front-end che viene recepito

quando manca il segnale per almeno 250 nsec sulla linea dei dati (vedi paragrafo

3.5.3)

La distribuzione del clock e dei comandi veloci avviene tra FEC e DOH attraverso

le due coppie di fibre ottiche, mentre tra il DOH e le singole CCU (Communication

and Control Unit) si utilizzano linee differenziali LVDS. Nell’anello ad ogni CCU

viene assegnato un indirizzo a 7 bit, permettendo così di avere fino a 127 nodi (il

FEC stesso è rappresentato dal nodo 0). Le nostre 8+1 CCU formeranno un anello

la cui lunghezza fisica totale non supererà i due metri.

55

Page 64: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

3.1.2 Funzionamento

Il sistema di comunicazione adottato dalle CCU è a due livelli:

1. il primo, basato sulla topologia ad anello, mette in comunicazione il FEC con

le CCU;

2. il secondo livello permette la comunicazione tra la CCU e i componenti a questa

collegati attraverso i canali I2C e di Input/Output.

Il protocollo di alto livello dell’anello viene controllato dal software [30] che gira

sul PC che ospita il FEC e si basa, come dicevamo, su un’architettura tipo LAN [61]

che trasporta i pacchetti di dati da e verso il FEC e da e verso i controllori dei canali

interni a ciascuna CCU con un sistema a passaggio di testimone (Token Ring). I

protocolli usati per i singoli canali interni alle CCU sono invece specifici a seconda

dell’implementazione fisica adottata (I2C, JTAG, porta parallela etc). Una singola

CCU contiene infatti i seguenti gruppi di canali:

• un controllore di nodo (la CCU stessa con i suoi registri di stato e di controllo

viene vista come un particolare canale, lo ‘0’);

• 16 canali I2C (da 10 a 1f esadecimale) con la CCU che fa da master ;

• un controllore per un bus adatto ad accedere a memorie statiche etc. (non

accessibile sulla CCUM);

• 4 controllori di bus paralleli tipo Motorola PIA (Parallel Interface Adapter) di

cui solo uno accessibile sulla CCUM;

• un controllore responsabile della decodifica e della distribuzione del trigger (non

accessibile sulla CCUM);

• un controllore JTAG di tipo master (non accessibile sulla CCUM)

.

56

Page 65: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Questo doppio livello è stato introdotto per separare le informazioni portate dal

FEC alle CCU, attraverso una fibra ottica molto lunga (la nostra è di 200 m), da

quelle gestite dai bus relativamente lenti (I2C) scelti per interfacciarsi con i chip di

front-end (PACE, DCU, PLL, GOL etc). Il protocollo adottato prevede che venga

spedito un pacchetto contenente un messaggio ad uno specifico canale il quale inter-

preterà i dati in esso contenuti come un comando, lo eseguirà sul componente esterno

ed eventualmente manderà una risposta al FEC attraverso un altro pacchetto. I com-

ponenti remoti controllati dalle CCU vengono visti dal FEC come canali indipendenti,

ognuno con il suo particolare insieme di registri di controllo e/o locazioni di memoria.

I canali, una volta copiato il messaggio, operano indipendentemente l’uno dall’altro in

modo da permettere di effettuare contemporaneamente operazioni diverse sui diversi

componenti del front-end.

Il formato di un pacchetto di dati è definito in tabella 3.1:

SOF 1 ByteIndirizzo di Destinazione 1 ByteIndirizzo del Mittente 1 ByteLunghezza 1 o 2 ByteDati 128 Byte o 32 KByteCRC-16 2 ByteEOF 2 Byte

Tabella 3.1: Il formato di un pacchetto di dati per il protocollo d’anello

I campi SOF (Start of Frame), EOF (End of Frame), Mittente, Destinatario,

Lunghezza e CRC (Cyclic Redundancy Check) sono obbligatori per tutti i pacchetti

in circolazione. Il campo SOF viene definito come una sequenza dei due caratteri J e

H per un pacchetto ‘normale’ e J e K per un pacchetto vuoto (token), vedi la tabella

3.2. Il campo dei dati viene ignorato dal protocollo dell’anello e viene utilizzato dal

controllore del canale interno alla CCU per eseguire i comandi e per i dati.

Due Byte devono essere sempre presenti all’inizio del campo dati:

1. il numero identificativo del canale all’interno del nodo;

57

Page 66: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Simbolo Codice FunzioneIdle 11111 IdleJ 11000 Utilizzato nel campo SOFK 10001 Utilizzato nel campo SOFH 00100 SpecialR 00111 ResetS 11001 SetT 01101 Termination

Tabella 3.2: Caratteri speciali adottati dal protocollo d’anello

2. il numero identificativo della transazione che viene usato per assicurare la

corretta identificazione dell’operazione all’interno di un dato canale.

I due Byte del CRC-16 calcolano tutti i campi compresi tra Destinazione e Dati.

I dati vengono trasmessi sul fronte di salita del clock dopo una conversione effet-

tuata usando uno schema di codifica da 4 a 5 bit chiamato NRZI (Non Return to

Zero with Invert 1 on change) che comprende anche l’insieme di caratteri di controllo

specificati nella tabella 3.2. Le rapprentazioni da 4 a 5 bit usate in questa codifica

sono pensate in modo da non avere mai più di uno zero iniziale o due finali, così da

non avere mai più di tre zeri consecutivi sulla linea di trasmissione, assicurando con

ciò un adeguato numero di transizioni, in modo da evitare spostamenti della tensione

di riferimento.

3.1.3 La ridondanza

Poiché il Control Ring gestisce tutta la nostra elettronica di front-end risulta partico-

larmente importante garantirne il funzionamento nel suo insieme, ma se la topologia

fosse quella di un semplice anello anche un solo elemento guasto causerebbe la perdita

di tutta la catena di controllo successiva (e non potremmo ricevere i dati di ritorno).

La figura 3.3 mostra la struttura ridondante basata su un doppio percorso dei dati e

del clock tra DOH e CCUM e tra quest’ultime che permette di ‘bypassare’ un’even-

tuale CCU difettosa. Infatti nel caso di rottura di un qualunque componente: DOH

o CCU, esiste sempre un percorso fisico percorribile. Il componente difettoso viene

58

Page 67: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 3.3: L’architettura dell’anello di controllo adottata nel rivelatore al silicio diLHCf. Il FEC è sistemato in un PC in counting-room. I segnali viaggiano attraversofibre ottiche fino ai DOH e su linee LVDS tra questi e le CCU. I due percorsi alternativisono identici e permettono la necessaria ridondanza.

saltato facendo in modo che la CCU precedente trasmetta i dati sulla porta B anziché

A e la CCU successiva riceva i dati sulla porta B anziché A.

3.2 Distribuzione del clock e dei controlli veloci

Descriviamo ora la parte relativa ai controlli ‘veloci’. La stessa topologia ad anello

illustrata sopra viene utilizzata anche per la distribuzione del clock e dei comandi

veloci come il trigger. Sulla scheda che ospita il FEC (vedi paragrafo 3.7) è presente

un modulo TTCrx (Trigger and Timing Control Receiver) equipaggiato con un rice-

vitore da fibra ottica. Il sistema TTCrx viene usato per generare il clock necessario

al funzionamento del token ring ma anche per codificare le informazioni tipo trigger

ricevute su un altro ingresso. Quando il modulo TTCrx riceve un trigger elimina

un colpo di clock. Il sistema gestisce fino ad un massimo di tre colpi consecutivi

di clock mancanti. Per i componenti non direttamente connessi al token ring e che

necessitino di un clock continuo è necessario quindi prevedere l’utilizzo di una PLL

59

Page 68: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Simbolo Codice FunzioneTrig 100 TriggerCalPulse 110 CalibrazioneReSynch 101 Azzera le pipeline delle FIFO

Tabella 3.3: I comandi di Trigger

(vedi paragrafo 3.5.5) per rigenerare il clock ricostruendo i colpi mancanti corrispon-

denti ai segnali tipo trigger. Se non arriva nessun segnale attraverso la fibra ottica,

comunque esiste una QPLL (Quartz-based PLL) sulla scheda che può generare il clock

a 40 MHz. Questa caratteristica è molto utile in fase di test. Questi comandi veloci

vengono trattati dal MUX digitale presente sul CCUM ed inviati alla scheda FED,

per essere trattati e poi inviati all’ibrido di front-end.

I tre comandi tipo trigger che il clock di LHC può portare codificati su di sé sono:

1. il trigger ;

2. l’impulso di calibrazione;

3. il ReSynch.

Il metodo di codifica utilizzato prevede che fino a tre segnali consecutivi di clock

possano mancare (ogni mancanza corrisponde ad un ‘1’ nella colonna Codice della

tabella 3.3). Sulla scheda del FED il compito della decodifica dei comandi di trigger

e della sua distribuzione ai singoli semiibridi è stato assegnato alla FPGA Cyclone.

3.3 L’acquisizione dei dati

Sulla scheda del FED (Front-End Driver) da noi realizzata trova posto la scheda

piggy-ADC (vedi paragrafo 4.1.1) sulla quale si trovano tre ADC. Un singolo AD

41240 (le cui caratteristiche sono illustrate al paragrafo 3.5.1) può ricevere i dati ana-

logici di quattro PACE3 e convertirli con una risoluzione di 12 bit. I dati relativi a

due PACE vengono ‘multiplexati’ sullo stesso bus di 12 bit e presentati sui fronti di

salita e di discesa del clock a 40MHz. La lettura di un evento completo da un PACE

60

Page 69: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Analog // // //

Col Add // // //

Data Val // // //

FEF

Trig

Clock // // // //

-1

19 28 92 111 120

Figura 3.4: Diagramma temporale dell’acquisizione dati.

impiega circa 7µsec [50]. I dati digitalizzati (e trasformati dal formato LVDS a quello

LVCMOS a 3,3 V) vengono incolonnati in parole di 16 bit in sei FIFO che vengono

gestite da una logica programmabile che provvede ad inviare i dati al trasmettitore

ottico per essere serializzati e trasmessi.

Il flusso dei dati digitali da noi progettato prevede che essi, dopo la conversione ef-

fettuata dagli ADC (12 bit), vengano conservati nelle FIFO (assieme ai DataValid

e ai ColumnAddress). Nelle FIFO vengono utilizzati i primi dodici bit per registra-

re il risultato della conversione dell’ADC; il tredicesimo ed il quattordicesimo bit

contengono il ColumnAddress, (rispettivamente del primo e del secondo PACE); il

quindicesimo ed il sedicesimo bit indicano se è presente o meno il segnale DataValid

(sempre dei due distinti PACE). Gli ultimi due bit non vengono utilizzati. Dopo

essere state memorizzate nelle FIFO, le parole di 16 bit così formate vengono presen-

tate su di un bus alla cui estremità è presente la Cyclone ed inviati da questa alla

sala controllo attraverso il GOL (Gigabit Optical Link). Nel nostro caso non viene

effettuata alcuna soppressione degli zeri ed il tempo massimo di trasmissione di un

intero modulo (dodici chip PACE3) a 640 Mbit/s è dell’ordine di 50µsec.

61

Page 70: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

3.4 Alimentazione

Le schede di digitalizzazione e acquisizione FED richiedono tre tensioni: 1,5, 2,5 e 3,3

Volt. Invece il sistema costituito dai rivelatori al silicio più gli ibridi FEH richiede

per funzionare una tensione di polarizzazione (circa 200 Volt) ed una a 2,5 V (che

viene fornita dal FED). Le tensioni non regolate (circa 3,4 e 4 Volt) vengono fornite

da un sistema tipo CAEN Easy 4000 attraverso 200 metri di cavi. Questo sistema

di alimentazione è stato sviluppato per il Tracker di CMS [39] e usa un sistema di

controllo remoto per compensare le cadute di tensione sui cavi. Tra le caratteristiche

di sicurezza presenti ricordiamo gli allarmi di sovracorrente e sovratensione. Alcuni

regolatori di tensione presenti sulla scheda FED provvedono poi a fornire le tensioni

necessarie ai componenti finali.

PP@USA15 PP@TAN

pre-ampmade in Japan

Segn. PMT x 3535xLEMO 35xBNC 35xLEMO

Controlli del Manipolatore x 5

scorta x 2

PMTPMTPMT

32 PMT

32xLEMO

LEMO

LEMO

30-Gen-2006

1a

1b

1c

fibra al quarzo3

fibra ottica (opzionale; puo’ essere inclusa in 7)4

alim. DC x manipolatore8

SMA

Rivelatore No. 2 di LHCf

high current power x 10

5

tracciatore al SiFEC

6low current power x 5

2 fasci di 12 fibre (CMS fiber)

7

35xBNC

LEMOBNC

LEMO BNCBNC

(GND di PP e connettori isolati)(GND di PP e connettori isolati)(GND di PP e connettori isolati)

Alim. DC per i pre-amp x 19 Dsub XXpin

Dsub XXpin

37 conduttori HV

REDEL

2

REDEL37 SHV

CO

NN

CO

NN

CO

NN

CO

N

CO

N

CO

N

Figura 3.5: L’architettura dei cavi e delle fibre che connettono la counting-roomall’elettronica di front-end per il rivelatore Arm 2 di LHCf.

62

Page 71: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

3.5 Gli ASIC

Molti dei componenti utilizzati per la realizzazione della nostra scheda di digitalizza-

zione e controllo sono stati appositamente studiati e realizzati per altri esperimenti

di fisica delle alte energie, sono cioè degli ASIC (Application Specific IC ). In questo

paragrafo daremo una descrizione dei singoli chip da noi utilizzati per costruire la

scheda di acquisizione e controllo FED (e la piggy-ADC ).

3.5.1 AD 41240

L’AD 41240 [49] è stato progettato specificatamente per le necessità del calorimetro

elettromagnetico Ecal di CMS [39], ma è un componente molto versatile e compatibile

con altre applicazioni come la nostra. Come architettura questo ADC adotta un

multistadio con logica di correzione degli errori in uscita. L’AD 41240 consuma circa

450 mW nella modalità di funzionamento a quattro canali.

Figura 3.6: Schema a blocchi dell’ADC ed un’immagine del chip.

Caratteristiche

L’AD 41240 è un convertitore analogico-digitale, costruito con tecnologia CMOS a

0, 25µm, che può funzionare con diverse modalità, come per esempio: quadruplo a

63

Page 72: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Modo velocità del Bus (MHz) No. di bit e larghezza dei Bus0 Quadruplo ADC 80 DDR 2 / 12 (Multiplex )1 Ecal Direct 80 DDR 1 / 142 Ecal con isteresi 40 1 / 143 Ecal Direct 40 1 / 74 Ecal con isteresi 80 DDR 1 / 75 Trasparente (Canali 0-1) 80 DDR 2 / 12 (Multiplex )6 Trasparente (Canali 2-3) 80 DDR 2 / 12 (Multiplex )

Tabella 3.4: I sette modi diversi di utilizzazione dell’AD 41240 con l’indicazione dellecaratteristiche.

12 bit o singolo a 14 bit. Esso offre buone prestazioni a fronte di un basso consumo

e garantisce (dati riferiti ad una frequenza di campionamento di 10 MHz):

• di non avere nessun codice mancante nell’intero intervallo di temperatura −10÷70 C;

• un numero di bit equivalenti (ENOB) di 10,8;

• una nonlinearità integrale (INL) di ±0, 77 LSB (Least Significant Bit);

• una nonlinearità differenziale (DNL) di ±0, 42 LSB.

Le uscite e gli ingressi digitali sono tutti di tipo LVDS (Low Voltage Differential

Signaling), gli ingressi analogici sono differenziali, mentre i pin di configurazione

seguono la logica CMOS a 2,5 V (Low Voltage).

Funzionamento

Allo scopo di generare poco rumore il bus di uscita dei dati (2 x 2 x 12) usa segnali

LVDS, perciò ogni linea utilizza due pin.

L’AD 41240 ha diversi modi di funzionamento, riassunti in tabella 3.4. Nel modo

0, quello da noi utilizzato, l’AD 41240 si comporta come due coppie di convertitori

indipendenti con un bus comune di uscita per ogni coppia di ingressi. I due bus di

uscita, larghi 12 bit, funzionano come DDR (Double Data Rate), cioè i dati relativi

a due PACE3 vengono presentati alternati sui fronti di salita e di discesa del clock a

40 MHz.

64

Page 73: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

3.5.2 GOL

Anche il GOL (Gigabit Optical Link) [54] è stato sviluppato dalla collaborazione CMS

per essere utilizzato come un trasmettitore completo su fibra ottica dal calorimetro

elettromagnetico ECal [39]. Nell’esperimento originario ne saranno utilizzati circa

10500.

Caratteristiche

Il GOL è custodito in un package di tipo BGA a 144 pin di 13 mm di lato ed è stato

progettato appositamente per operare in modo affidabile ai livelli di radiazione che si

incontrano nei rivelatori di LHC.

Siccome in counting room, dalla parte dei ricevitori, non è richiesta nessuna resistenza

particolare alla radiazione, per costituire un sistema completo di trasmissione dati

(nel nostro caso su fibra ottica) questo trasmettitore può interfacciarsi con normali

ricevitori reperibili in commercio (sia con lo standard Ethernet che con quello Glink).

Funzionamento

I dati vengono presentati al GOL in parole di 16 o 32 bit, che vengono codificate

con il sistema 8bit/10bit oppure CIMT (Conditional-Invert Master Transition). Ciò

comporta un aumento della banda necessaria a 800 Mbit/s o 1,6 Gbit/s per inviare

parole di 20 bit a fronte di una banda effettiva di 640 Mbit/s o di 1,28 Gbit/s. Quindi

i dati vengono serializzati e posono essere inviati sia su fibra ottica che su una linea di

trasmissione a 50 Ω. Il chip GOL contiene sei registri accessibili dall’utente: quattro

sono registri di configurazione e due di stato. I registri di configurazione sono protetti

contro gli errori indotti da radiazione (SEU, Single Event Upset), con bit di controllo

‘Hamming’: se un bit cambia stato impropriamente, viene automaticamente corretto.

I registri interni del GOL sarebbero accessibili, in teoria, sia attraverso l’interfaccia

I2C che quella JTAG, ma, poiché noi utilizziamo un componente già cablato, la

seconda possibilità ci è preclusa.

65

Page 74: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 3.7: Uno schema a blocchi delle funzioni svolte dal GOL e il GOH visto dalbasso.

Il GOH

Il GOH (GOL Opto-Hybrid) è un modulo delle dimensioni di 2,4 cm x 3,0 cm che

ospita il GOL e il Laser Driver e che si interfaccia con la scheda madre attraverso un

connettore a 50 poli di tipo NAiS (Matsushita AXN450330S). In tale sistemazione il

GOL può accettare solo parole di 16 bit, quindi la nostra banda effettiva sarà di 640

Mbit/s. I dati verranno trasmessi su una fibra ottica monomodo a 1310 nm attraverso

un diodo laser e una fibra permanentemente connessa munita di connettore di tipo

MU.

3.5.3 DOH

Il DOH (Digital Opto-hybrid) [55] è un sistema completo trasmettitore-ricevitore

resistente alla radiazione che contiene un ASIC che fa da laser driver (LLD), due

laser, due fotodiodi e un ASIC che fa da ricevitore (RX80) tutti montati su un

ibrido costruito con un circuito stampato a 4 strati. Esso è stato realizzato per

interfacciarsi con il FEC e per convertire i segnali ottici in elettrici per fornirli alle

CCU. Il consumo è quantificato in 350 mW di cui 220 mW imputabili alla parte

dedicata alla trasmissione e 125 mW allo stadio ricevente.

66

Page 75: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 3.8: Schema del funzionamento del DOH.

Caratteristiche

La connessione elettrica è assicurata da un connettore a 26 pin tipo NAiS, mentre

l’interfaccia ottica consiste in 4 fibre ottiche monomodo ognuna con il suo connettore

tipo MU. Le caratteristiche principali sono:

• massima velocità di trasmissione: 100 Mb/s;

• tasso di errori: 10−12;

• skew : 1 ns e jitter : 0,25 ns;

• lunghezza d’onda: 1310 nm.

Definizioni

Lo skew viene determinato misurando, per due canali, il tempo medio t50 necessario

perché un segnale a gradino raggiunga il 50% del suo valore finale. Lo skew tra i

canali i e j risulta perciò:

tskew = t50,j − t50,i

Il jitter (rms) viene definito come la deviazione quadratica media del tempo t50

necessario perché un segnale a gradino raggiunga il 50% del suo valore finale:

tjitter =

√(t50 − t50

)2

67

Page 76: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Funzionamento

Due canali ricevono il clock di LHC a 40,08 MHz (con eventualmente codificati il

trigger e i comandi ‘veloci’, vedi paragrafo 3.2) e i pacchetti di dati a 40 Mbit/s dal

FEC e li trasmettono all’anello LVDS delle CCU. Gli altri due canali inviano al FEC

il clock e i dati ricevuti dalle CCU. Anche il reset per i componenti di front-end viene

generato dal RX80 sul DOH, in seguito alla ricezione di una richiesta di reset (un

segnale di almeno dieci 0 consecutivi sulla linea dei dati) inviata dal FEC.

Il DOHM

Il DOHM (Digital Opto-Hybrid Module) da noi utilizzato deriva direttamente dal

tracciatore di CMS, è stato sviluppato e realizzato a Firenze ed ospita due DOH (in

modo da implementare la ridondanza) e distribuisce in maniera appropriata i segnali

all’anello delle CCU.

3.5.4 CCU

L’Unità di Comunicazione e Controllo (CCU-25 Communication and Control Unit)

[56] è un ASIC (anch’esso costruito in tecnologia a 0, 25 µm e resistente alla radia-

zione) appositamente progettato per il Tracker di CMS allo scopo di implementare

il sistema di controllo e per il monitoraggio e la gestione dell’elettronica di front-end.

Normalmente esso viene utilizzato unitamente ad un altro ASIC: una PLL (Phase-

Locked Loop) (vedi il paragrafo 3.5.5) che risulta necessaria per ricostruire il clock

e per ridurre il livello di jitter del clock e del trigger che devono essere distribuiti

all’elettronica del rivelatore. Questo componente consuma 250 mW a 2,5 V.

Caratteristiche

La CCU è stata progettata per supportare una struttura di trasmissione di tipo ad

anello anche se si potrebbe utilizzare in una configurazione punto-punto. Per maggiori

68

Page 77: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 3.9: Schema a blocchi della CCU. In grigio sono indicate le funzioni a cui nonpossiamo accedere.

dettagli sulle sue caratteristiche vedi la parte che parla del Control Ring al paragrafo

3.1.

Funzionamento

Abbiamo già visto nel paragrafo 3.1.3 che parla della ridondanza come le CCU possano

comunicare attraverso due porte in ingresso e due in uscita. Dopo un’accensione o un

reset esterno la CCU normalmente opera con la porta A come porta attiva di input,

in questo caso la porta B riceve sequenze di caratteri idle. Per spostarsi sulla porta

alternativa B la CCU deve ricevere un comando apposito proprio attraverso la porta

B.

La CCU dispone di sedici controllori di canali I2C (Inter-Integrated Circuit) [62]

configurati come master. Un bus I2C è una canale di comunicazione seriale bidi-

rezionale che utilizza due linee: SDA (Serial Data Line) e SCL (Serial Clock Line).

L’interfaccia I2C può eseguire operazioni di lettura e scrittura sui componenti di

front-end ad una velocità di trasmissione dati programmabile di 100, 200, 400 kHz o

69

Page 78: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 3.10: La CCUM vista rispettivamente dal basso e dall’alto.

1 Mhz.

LA CCU disporrebbe anche di quattro (di cui solo uno accessibile sul CCUM)

controllori per altrettante interfacce parallele di I/O a 8 bit. Questo bus parallelo

permette la connessione con altri componenti sia in ingresso che in uscita (la direzione

è programmabile).

Il CCUM

Il CCUM, dalle dimensioni di 3,2 cm x 3,2 cm, è il modulo che ospita la CCU e si

interfaccia con la scheda madre attraverso tre connettori da 40 poli di tipo NAiS.

Non tutte le potenzialità della CCU sono accessibili attraverso questi tre connettori:

i segnali riportati all’esterno sono praticamente solo quelli relativi alle 16 interfacce

I2C [62] e all’anello di controllo (ingresso e uscita, porte A e B, clock e dati). Oltre

a ciò il CCUM fornisce anche un segnale di clock LVDS (che costituisce il segnale di

riferimento per tutti i clock da noi utilizzati per la nostra scheda), una porta parallela

a 8 bit, un paio di segnali relativi alla rilevazione della temperatura e due reset : uno

in uscita e uno in ingresso.

3.5.5 PLL

La CMS Tracker PLL (TPLL) [57] [39] è un chip appositamente disegnato per la

distribuzione del clock e del trigger nel Tracker centrale di CMS. L’architettura della

70

Page 79: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 3.11: Diagramma schematico del funzionamento della TPLL.

TPLL è mostrata in figura 3.11. L’ASIC è composto dai seguenti elementi funzionali:

• la logica di decodifica del trigger ;

• la PLL e il ritardo di fase programmabile;

• l’interfaccia I2C;

• la logica di autocalibrazione.

Caratteristiche

Abbiamo visto al paragrafo 1.5.4 come il clock di LHC e i segnali veloci tipo il trigger

vengano trasmessi dal FEC ai componenti finali utilizzando un’unica fibra ottica.

Per ottenere ciò i segnali tipo trigger vengono codificati sul segnale di clock e la

codifica viene fatta prendendo il clock di LHC e mantenendo il segnale al suo 0 logico

per uno o più periodi (fino a tre). Questo semplice schema permette di ridurre il

numero di canali necessari per trasmettere l’informazione sul clock e sul trigger al

rivelatore ma, dalla parte dell’elettronica di front-end, necessita di un circuito ad hoc

per rigenerare un clock ’pulito’ ed estrarre l’informazione codificata. La Tracker PLL

svolge la prima funzione: rigenera il clock di LHC a partire dal segnale codificato e

invia il messaggio in esso contenuto su una linea separata (T1). Sulla nostra scheda

la Cyclone provvederà poi ad estrarre da T1 i tre segnali codificati.

71

Page 80: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Funzionamento

Oltre alla capacità di rigenerare il clock, la PLL ha anche la possibilità di applicare

un ritardo programmabile (in CMS questa funzionalità serve a correggere la tempo-

rizzazione del clock e del trigger in base al posizionamento dei diversi componenti al-

l’interno del rivelatore). Questo ASIC contiene un meccanismo interno di de-skewing

del clock che permette di spostare la fase dei quest’ultimo fino ad un massimo di

25 ns a passi di 1,04 ns. Questa funzione viene implementata da un VCO (Voltage

Controlled Oscillator) composto di 12 celle di ritardo che generano 12 diverse fasi

del clock distribuite in modo continuo tra zero e metà del periodo di clock di LHC

(TLHC = 24,95 ns). Selezionando una di queste fasi del clock è possibile spostare

la fase del segnale di uscita tra 0 e TLHC/2. L’intervallo tra TLHC/2 e TLHC viene

coperto invertendo i segnali di clock dei dodici VCO interni. La selezione del valore

del ritardo viene fatta attraverso l’interfaccia I2C. E’ anche presente una funzione

di dilazione del trigger che permette di ritardarlo fino ad un massimo di 15 cicli di

clock di LHC.

Un reset fa partire un ciclo di autocalibrazione al cui termine il segnale di clock

viene ‘agganciato’. Infatti l’ASIC contiene un elemento logico di autocalibrazione

che imposta all’avvio le condizioni ottimali di bias per la PLL. Questo meccani-

smo di autocalibrazione è trasparente all’utente, ma può essere controllato attraverso

l’interfaccia I2C.

3.5.6 Gli altri ASIC

La QPLL

La QPLL [58] è un componente Phase-Locked Loop (costruito con tecnologia a 0, 25 µm

resistente alla radiazione) basato su un cristallo di quarzo la cui funzione è quella di

filtrare il rumore di jitter dal segnale di clock di LHC, da fornire poi al GOL che

necessita di un clock con un jitter molto ridotto.

Possono essere implementati due modi e frequenze di funzionamento: 120 MHz e

72

Page 81: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

PLL

6

6

4

LOGIC

40 M zH

80 M z / 60 MHzH

160 M z / 120 MHzH

Locked

Error

LVDS IN

CMOS IN

External Control

f S elect< 3:0>o

Mode

Vdd

Auto Restart / fo Select< 4>Reset / fo Select< 5>

Ca p Voltageregulator

10

QPLL2/3

Xtal2 Xtal1

Figura 3.12: Schema a blocchi della QPLL.

160 MHz. Nel modo a 160 MHz, l’ASIC genera tre segnali di clock sincroni con il

clock di riferimento a: 40 MHz, 80 MHz e 160 MHz mentre nel modo a 120 MHz le

frequenze sintetizzate sono: 40 MHz, 60 MHz and 120 MHz. In entrambi i casi le

frequenza più alta viene generata da un oscillatore controllato in tensione (VCXO,

Voltage Controlled Crystal Oscillator) e quelle inferiori vengono ottenute attraverso

una divisione. Ogni modo di funzionamento richiede un cristallo alla frequenza ap-

propriata (nel nostro caso 160 MHz). In ingresso la QPLL accetta segnali di clock di

tipo LVDS e di tipo LVCMOS, mentre i tre segnali di uscita sono tutti di tipo LVDS.

Tra le caratteristiche principali della QPLL abbiamo che:

• è stata progettata per funzionare alla frequenza del master clock di LHC:

f = 40,0786 MHz;

• l’intervallo di frequenza in cui si ‘aggancia’ è: 40078, 6± 3, 7 KHz.

La QPLL contiene un circuito che controlla se la phase-locked loop è ‘agganciata’.

Se non lo è viene dato inizio ad un ciclo di calibrazione della frequenza che termina

con l’aggancio della PLL. Il tempo necessario ad agganciare con il ciclo di calibrazione

73

Page 82: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

della frequenza (modo 1) è di circa 180 ms, mentre senza (modo 0) è molto inferiore:

250 µsec.

Il buffer LVDS

Il CMS Tracker LVDS buffer contiene, all’interno di un package SOIC a 8 pin, un

buffer di tipo LVDS ed uno di tipo CMOS. Questo componente è stato da noi utiliz-

zato sulla scheda madre a più riprese per distribuire a più componenti il clock portato

da un’unica linea differenziale. Il tempo di salita tipico (rise time) è di 3 ns con un

carico capacitivo di 10 pF.

3.6 La Cyclone

L’FPGA (Field Programmable Gate Array) Cyclone [68] [59], prodotta da Altera, ha

un core che funziona a 1,5 V, è costruito in una tecnologia a 0, 13 µm e fa parte di una

famiglia che offre densità fino a 20060 elementi logici (LE) e fino a 288 Kbit di RAM

a fronte di un basso costo, confrontato a quello di componenti dalle caratteristiche

simili. In particolare la Cyclone EP1C6 da noi adottata ha le seguenti caratteristiche:

• 5980 LE;

• 20 blocchi di memoria RAM da 4K per un totale di 92160 bit (compresi i bit

di parità);

• per la configurazione è sufficiente un componente seriale EPCS1 da 1Mbit;

• supporta segnali sia unipolari che differenziali;

• ha due PLL;

• ha 185 pin di Input/Output in un package PQFP da 240 pin;

• presenta un ritardo tra il clock e un pin di uscita compreso tra 2 e 4 ns.

74

Page 83: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Il supporto di molteplici tipi di segnali logici unipolari e differenziali (LVTTL e LVC-

MOS a 3,3 e 2,5 Volt, LVDS) è risultato molto utile per interfacciarci con il resto

dell’elettronica presente sulla motherboard del FED che può essere suddiviso in due

grandi categorie:

1. gli ASIC che sono alimentati a 2,5V e che hanno anche ingressi ed uscite LVDS;

2. l’elettronica commerciale che è principalmente alimentata a 3,3V.

3.7 FEC

Il modulo FEC (Front-End Controller) [60] è stato costruito per gestire i control-

li ‘lenti’ del Tracker di CMS. Abbiamo visto come i controlli vengano inviati su

una struttura ad anello (token-ring) che è formata da diverse componenti: prima il

FEC che controlla l’anello, poi i trasmettitori-ricevitori ottici (DOH) che portano il

clock e i dati alle CCU, le quali contengono le interfacce tipo master I2C che infine

permettono di scrivere e leggere i registri di: DCU, PACE, PLL, GOL, e QPLL.

L’anello prosegue attraversando tutte le CCU (8+1) finché i dati ritornano al FEC.

All’interno dell’anello, come abbiamo visto, viaggiano anche il segnale di clock e di

trigger, che sono segnali veloci ma non sono gestiti dal FEC bensì, come accennato

precedentemente, dal modulo TTCrx montato sul FEC.

Caratteristiche

Nel nostro caso, necessitando di un solo FEC, quest’ultimo è stato sistemato come

piggyback su una scheda PCI alloggiata in uno slot del PC di controllo. Il controllore

del FEC viene implementato attraverso una grossa FPGA: Xilinx Spartan IIE mentre

l’interfaccia tra il bus PCI e la logica della scheda viene gestita da un chip PLX PCI

9054 (vedi lo schema a blocchi riportato in figura 3.7).

75

Page 84: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

JTAG CONNECTOR

TTCRx RDYTRIGGER

INSERTION CLKL1ACC

TTCrx FPGA EEPROM

BRCSTSTR1

BRCST

CLK QPLL 40MHz

JTAG

FEC MEZZA NINE BOA RD

FIFO RING A LOCAL BUS PCI/VMEPLX PCI 9054

or XILINX OPTOBAHN VME IFCE FIFO SPARTANI IE

FIFO RING B

Figura 3.13: Schema a blocchi del FEC.

Funzionamento

Il FEC contiene una FIFO di trasmissione (Transmit FIFO) per i dati che devono

essere inviati verso il token-ring e due FIFO per il ricevimento dei dati che tornano:

la Receive FIFO riceve i pacchetti inviati dal FEC e modificati dalle CCU, mentre

la Return FIFO viene utilizzata per i dati provenienti dal Control Ring con desti-

nazione il FEC. La codifica e la decodifica da quattro a cinque bit e viceversa (vedi

al paragrafo 3.1) viene effettuata nel FEC che inoltre genera internamente il CRC

(Cyclic Redundancy Check) man mano che i dati vengono trasmessi (similmente il

CRC viene controllato quando i dati vengono ricevuti).

Il modo normale di funzionamento del FEC è il seguente: quando un’operazione

deve essere effettuata su un’unità che fa parte dell’anello, prima esso si assicura che

il link sia inizializzato e che nessun’altra operazione sia in corso. Un frame viene

preparato e scritto parola per parola di 32 bit nella Transmit FIFO. Il FEC attende

di ricevere un token (cioè un pacchetto vuoto) per sostituirlo con il frame presente

nella Transmit FIFO. Dopo un tempo corrispondente al ritardo d’anello i dati tornano

verso il FEC. Il ritardo d’anello è di 8 × 25nsec × numero di CCU cioè 1, 8µsec nel

76

Page 85: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

nostro caso. I dati vengono di nuovo divisi in parole di 32 bit e scritti nella Receive

FIFO. Una volta che l’intero messaggio è ritornato, nell’ultima parola si può leggerne

anche lo stato e cioè: ‘Errore’, ‘Indirizzo letto’ o ‘Dati copiati’. Se ci sono errori

essi vengono segnalati come ‘Errore nel CRC’ ‘Sequenza illegale’ o ‘Dati illegali’ a

seconda del caso. Nel caso il pacchetto ritorni con il bit di ‘Dati copiati’ settato a

‘0’ ciò indica che il canale di destinazione era occupato e che il pacchetto deve essere

ritrasmesso.

Nella FIFO Receive possono finire anche gli allarmi provenienti dall’elettronica di

front-end o dalle CCU.

77

Page 86: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

78

Page 87: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Capitolo 4

La progettazione della scheda di

readout (FED)

La ‘scheda madre’ del FED (Front End Driver) è uno dei costituenti sia della catena

elettronica di acquisizione (ibridi-piggyADC -FED-GOL-PC) che di quella di con-

trollo (FEC-DOH-CCU-FED-componenti finali) da noi progettate per permettere il

funzionamento dei rivelatori al silicio di LHCf. Questa scheda di acquisizione e con-

trollo ospita anche alcune ‘piggyback’ (schede accessorie che per mezzo di connettori

si attaccano alla scheda principale): il CCUM (Control and Communication Unit

Module), il GOH (Gigabit Opto-Hybrid) e la Piggy-ADC. I primi due moduli sono

stati sviluppati al CERN e servono a contenere rispettivamente la CCU e il GOL,

mentre il terzo è stato disegnato da noi e ospita tre convertitori analogico-digitali

modello AD 41240 (vedi paragrafo 3.5.1). Oltre a queste schede sul FED trovano

posto svariati altri componenti e le funzioni di tutta questa elettronica si possono

riassumere in:

1. il sistema di acquisizione dei dati;

2. il sistema di distribuzione del clock e dei controlli ‘veloci’ provenienti dal Control

Ring attraverso la CCU, la loro decodifica da parte della Cyclone e il loro invio

agli ibridi;

79

Page 88: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

3. le linee I2C, distribuite attraverso appositi canali dalla CCU e i controlli ‘lenti’,

(reset, flag etc);

4. l’uscita dei dati verso il GOH e da qui verso il PC di acquisizione;

5. l’alimentazione.

Il disegno della scheda madre è stato realizzato utilizzando il programma Capture

di ORCAD. Data la complessità del disegno il progetto di Capture è stato suddiviso

in diverse pagine organizzate in blocchi gerarchici, contenenti:

1. i connettori che portano i segnali I2C da e verso la CCU e i connettori provenien-

ti dall’ibrido FEH che portano le linee I2C ed i segnali di controllo diretti verso

quest’ultimo (Clock, ReSynch, Impulso di calibrazione, Reset-soft e Reset-hard ;

2. il chip di configurazione della Cyclone ed i connettori di configurazione e del-

l’interfaccia JTAG;

3. i connettori della CCUM e quelli del Control Ring ;

4. le PLL e i segnali di clock ;

5. le sei FIFO con i connettori dei segnali analogici in arrivo dalla piggy-ADC ;

6. il GOH ed il connettore di uscita (con i traslatori LVDS) per l’interfaccia con

la scheda di acquisizione PCI 7300;

7. il sistema dei regolatori di tensione.

Tutti i blocchi relativi alle pagine illustrate sopra sono stati poi riportati nel

disegno principale che contiene la Cyclone (e a cui fanno capo la maggior parte dei

segnali).

Molti componenti provenienti dal CERN, come per esempio gli ADC o i PACE,

utilizzano segnali LVDS (differenziali) anche per i dati in uscita e se non avessimo

trovato dei metodi per ridurre il numero di segnali avremmo dovuto utilizzare una

80

Page 89: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

logica programmabile (FPGA, Field Programmable Gate Array) con un numero esor-

bitante di pin di I/O (input/output : 3x2x12x2 solo per i dati in ingresso, 2x24 per i

DataValid, 2x24 per i ColumnAddress etc). Per limitare il numero di piste necessarie

a far viaggiare tutti questi segnali si sono adottate diverse strategie:

• si sono introdotte sei memorie Fifo in cui depositare i singoli eventi (in realtà

coppie di eventi), da leggere poi una alla volta;

• si è costruita la piggyback con gli ADC e i traslatori sopra;

• si sono introdotti dei traslatori da LVDS a LVTTL immediatamente a valle dei

connettori che portano i segnali differenziali;

• i segnali meno importanti (AlmostFull dai PACE e le flag provenienti dalle

FIFO) sono stati mandati a tre multiplexer modello HEF 4067, in modo che la

Cyclone potesse interrogarli utilizzando un semplice indirizzo a quattro cifre,

con un notevole risparmio di pin di I/O.

Poiché per ragioni di costi non era possibile acquistare componenti che avessero pack-

age di tipo BGA (la cui saldatura, che avremmo dovuto far eseguire a ditte esterne,

sarebbe risultata molto onerosa), si è trovato il miglior compromesso utilizzando la

FPGA Cyclone prodotta da Altera che dispone di 185 pin di I/O in un package di tipo

PQFP a 240 pin (vedi paragrafo 3.6). A questo punto la scelta di ospitare una sola

piggy-ADC su ogni scheda madre è risultata obbligata. Nonostante questo l’utiliz-

zazione dei pin di I/O è risultata del 77% (quasi totale a causa di ulteriori restrizioni

dovute ad incompatibilità tra i vari tipi di segnali presenti sui quattro banchi della

Cyclone).

Alla fine abbiamo totalizzato circa millequattrocento piste (di cui oltre cento ad

impedenza controllata, vedi la figura 4.8) da ‘sbrogliare’ con il programma Layout

di ORCAD. Nei successivi paragrafi, da 4.3.1 a 4.3.5, viene illustrato (nello stesso

ordine utilizzato più sopra per illustrare le diverse funzionalità della scheda madre)

il percorso seguito dai vari segnali.

81

Page 90: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 4.1: La parte di ‘sbroglio’ della scheda madre relativa ai dati in ingresso eduscita. Sono mostrati anche i segnali di controllo necessari a pilotare le FIFO e quelliche permettono di interfacciarsi al GOL e al PC. In alto a sinistra si distingue il busa sedici piste su cui si affacciano le sei FIFO e gli array di resistenze previsti per lasua terminazione.

4.1 La Cyclone e l’impacchettamento dei dati

Le dodici coppie differenziali di dati analogici provenienti dai PACE3 ospitati sui

due ibridi vengono trattati dai tre ADC (convertitori analogico-digitali) posti sulla

Piggy-ADC ; da questi i dati digitalizzati vengono inviati alle sei memorie FIFO e

qui vengono conservati fino a che la Cyclone non effettua una lettura. In figura 4.2

viene mostrato schematicamente il percorso fatto dai dati nella piggyADC : i dati che

escono dagli ADC sono larghi 12 bit e in ingresso alle FIFO vengono aggiunti altri

bit: due ColumnAddress e due DataValid così da ottenere parole larghe 16 bit.

Le sei FIFO si affacciano tutte su un bus comune di dati a sedici piste che è

stato terminato da entrambi i lati con delle resistenze in modo da cercare di ridurre i

fenomeni di riflessione del segnale. La Cyclone, attraverso i distinti segnali di controllo

che invia alle FIFO, si proccupa di fare in modo che al momento della lettura del

contenuto di una FIFO le uscite delle altre cinque siano poste in alta impedenza

attraverso un segnale apposito: OE (Output Enable). I sedici dati digitali presenti sul

82

Page 91: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 4.2: Diagramma schematico del funzionamento della piggyADC.

bus vengono raccolti dalla Cyclone che può inviarli a due uscite diverse. Una è posta

su un banco alimentato a 2,5 Volt ed è destinata al GOL che invia i dati sulla fibra

ottica che utilizzeremo nella configurazione finale dell’esperimento. L’altra è posta

su un banco alimentato a 3,3 Volt ed è diretta verso i traslatori LVTTL→LVDS che

inviano i dati alla scheda di acquisizione PCI 7300 che abbiamo utilizzato in fase di

test e durante il testbeam.

4.1.1 La piggyback per i tre ADC

Per semplificare lo sviluppo della scheda di acquisizione è stato deciso di introdurre

una scheda supplementare da noi denominata ‘piggy-ADC ’ che è stata realizzata su

un circuito stampato (PCB) a otto strati di dimensione 7 cm x 16 cm (vedi figura

4.3).

Questa scheda riceve i segnali analogici da un intero ibrido FEH (costituito da due

83

Page 92: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 4.3: Un’immagine della piggy-ADC con tutti i componenti montati.

semiibridi) attraverso due bundle di cavi coassiali che terminano con connettori tipo

Samtec QTE e ospita su di sé tre convertitori AD 41240 (che ricevono all’ingresso i

segnali di dodici PACE, vedi paragrafo 3.5.1) e i nove traslatori LVDS→LVCMOS dei

segnali digitali. Tutto ciò è stato progettato al fine di avere un totale di ‘sole’ 12 x 6 =

72 linee digitali (multiplexate x 2) in uscita. La modularità così introdotta inoltre ci

ha concesso una maggiore libertà nella progettazione e nello sviluppo della scheda di

acquisizione vera e propria, perché questa poteva essere progettata per ospitare una

o due piggyback, lo sbroglio della parte relativa ai segnali digitali (vedi paragrafo 4.4)

è risultato (relativamente) semplificato e, in caso di malfunzionamento, le piggy-ADC

possono essere sostituite senza cambiare tutta la scheda FED.

Il segnale di ingresso viene portato attraverso due connettori QSE a 40 poli ognuno

dei quali porta i segnali differenziali analogici provenienti da due semiibridi e relativi

a sei PACE, le linee dedicate alle interfacce I2C (sette) e un segnale di avviso generato

dai PACE che si chiama ‘AlmostFull ’ (vedi il paragarafo 2.3 relativo al funzionamento

del PACE3). I dodici segnali differenziali analogici vengono presentati agli ingressi dei

tre convertitori analogico-digitali, dopo la conversione le uscite digitali LVDS dei tre

ADC vengono portate agli ingressi di nove traslatori della Texas Instruments modello

SN65LVDT388A [66]. Tali traslatori, terminati internamente con una resistenza da

110 Ω, trasformano otto segnali di tipo LVDS in otto segnali di tipo LVCMOS a 3,3

84

Page 93: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 4.4: Lo ‘sbroglio’ della piggy-ADC. In verde sono riportate le piste e i compo-nenti che si trovano sul lato superiore (top). In rosso le piste e i componenti che sitrovano sul lato inferiore (bottom). In arancione e violetto le piste che passano neglistrati (layers) intermedi.

Volt con un tempo di propagazione tipico di 2,6 ns ed una differenza massima tra

segnali presenti sullo stesso componente (part-to-part skew) di 1 ns.

La piggy-ADC viene connessa alla motherboard attraverso tre connettori QTE

(che si innestano sui corrispondenti QSE) di cui due vengono utilizzati per trasmet-

tere i dati digitali alla motherboard e per ricevere i tre clock LVDS necessari al fun-

zionamento degli ADC, mentre il terzo connettore svolge la funzione accessoria di

raccogliere gli altri segnali presenti sui due connettori in arrivo dai due semiibridi

(linee I2C e di segnalazione degli errori) e portarli alla scheda madre.

La scheda piggy-ADC viene alimentata dalla scheda madre con due diverse ten-

sioni: 2,5 Volt e 3,3 Volt. Il consumo è stato stimato in 3 × 250mA = 750mA a 2,5

Volt per gli ADC e in 9× 22mA ≈ 200mA a 3,3 Volt per i traslatori. Tali stime sono

state confermate dalle misure effettuate su una scheda completamente montata.

85

Page 94: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Out

Analog b

Analog a

Clock

camp.a-b

camp.a’-b’

a b a’ b’

1

a b a’ b’

2

1 2 3

1 2 3

Figura 4.5: Diagramma temporale del funzionamento dell’ADC e del campionamentodei dati, compresa la pipeline di cinque colpi di clock dell’ADC.

4.1.2 Le FIFO

Sulla motherboard i dati digitali provenienti dalla piggyback che ospita gli ADC ven-

gono raccolti da due connettori tipo QSE a 40 poli. In totale abbiamo quindi 6 x

12 linee LVTTL che inviamo agli ingressi di sei FIFO mod. SN74V225 della Texas

Instruments [66] che gestiscono parole di 18 bit, sono profonde 1024 bit ed hanno un

tempo di accesso compreso tra 2 e 6,5 ns. Queste FIFO fanno parte di una classe

di componenti, tutte con package PQFP a 64 pin, prodotte da diverse aziende ma

tutte compatibili pin to pin. Sono presenti tre enable distinti: uno per la lettura

(REN), uno per la scrittura (WEN) ed uno per abilitare o mettere in terzo stato le

uscite (OE). Ricordando che su una singola linea vengono multiplexati i dati di due

PACE, che ogni evento è costituito da tre campionature e che i canali di un PACE

sono 32 si ha che ad ogni trigger corrispondono eventi di almeno 192 parole. La

lettura dei tre ColumnAddress porta poi il numero delle parole necessarie almeno a

240. Ma, visto che la lettura di un evento (due) viene fatta attraverso l’utilizzo di un

clock continuo a 80 MHz e che l’invio dei dati dura circa 7µsec, risulta che una FIFO

ospiterà circa 560 parole ad evento (in fase di test abbiamo allargato l’intervallo a

768). Quindi la profondità di questo primo buffer di eventi, realizzato dalle FIFO,

86

Page 95: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

ColAddB

ColAddA

Out

Clk 40/80 MHz

Clock 40 MHz

inizio camp.dati analogici

Figura 4.6: Diagramma temporale dell’acquisizione dei dati dalle FIFO, per la parterelativa all’acquisizione dei ColumnAddress e dell’uscita analogica.

è di un solo evento completo. In futuro si potrà aumentare la profondità del buffer

utilizzando componenti, sempre compatibili pin a pin, come l’SN74V235 e successivi

(2Mbit e più), oppure si potranno adottare opportuni pattern per la scrittura dei

dati nelle Fifo così come mostrato in figura 4.6. L’acquisizione dei dati dalle FIFO si

dilata ulteriormente a causa del ritardo che si frappone tra i dati che vengono portati

direttamente agli ingressi delle FIFO (ColumnAddress e DataValid) e quelli che de-

vono essere convertiti dagli ADC che necessitano di 5 colpi di clock + 5 ns per essere

processati. Di tutto questo si è dovuto tenere conto in fase di test (vedi il capitolo

5.4) per interpretare i dati ottenuti.

4.2 La distribuzione del clock e dei controlli ‘veloci’

La motherboard del FED riceve dal DOH il segnale di clock (con sopra codificati i

segnali veloci tipo trigger) e i pacchetti di dati da inviare al CCUM attraverso un

connettore a 26 poli posto nell’angolo in basso a sinistra della scheda (vedi figura

4.8). Questi segnali sono presenti in ingresso e in uscita dal CCUM, sono tutti di

tipo LVDS (a parte il reset) e sono raddoppiati a causa della ridondanza.

Il MUX digitale presente sul CCUM fa parte del circuito di distribuzione del clock,

dei controlli veloci e dei pacchetti denominato Control Ring descritto al paragrafo

87

Page 96: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 4.7: Diagramma schematico del percorso che fanno il clock e i controlli ‘veloci’sulla motherboard.

3.2. Dal CCUM proviene quindi un segnale di clock su una linea LVDS che viene

inviata alle PLL presenti sulla scheda FED e che rappresenta il segnale originario da

cui derivano tutti i clock da noi utilizzati sulla scheda madre. Questo segnale viene

portato in ingresso a due distinte PLL (vedi paragrafo 3.5.5) in modo da poter:

1. generare il clock che verrà utilizzato dagli ADC e dalla Cyclone ed isolare il se-

gnale ‘T1’ contenente i comandi tipo trigger che dovranno poi essere decodificati

dalla Cyclone (funzione descritta al capitolo 3.2);

2. generare il clock necessario a pilotare i PACE ed introdurre un ritardo pro-

grammabile.

88

Page 97: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 4.8: La parte di ‘sbroglio’ della scheda madre relativa ai clock e ai controlliveloci. Notare che la gran parte dei segnali è di tipo LVDS e richiede la presenza dilinee ad impedenza controllata.

4.3 I controlli lenti e i canali I2C

In questo paragrafo illustriamo tutti quei segnali che non sono stati ancora trattati,

cioè i segnali ‘lenti. Essi sono, per esempio:

1. i canali I2C;

2. i reset ;

3. i controlli ed il Ready del GOL;

4. le flag delle Fifo (Empty, Half-Full, Full) e della QPLL (Error, Locked);

5. i comandi necessari alla configurazione della Cyclone;

6. la porta parallela e i due segnali di temperatura della DCU provenienti dalla

CCUM.

Nei paragrafi che seguono illustreremo le funzioni svolte da alcuni di questi segnali

nello stesso ordine.

89

Page 98: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

4.3.1 La CCU e i canali I2C

La CCU, tra le altre funzioni, possiede anche la capacità di gestire come master sedi-

ci distinte interfacce I2C. Poiché i Delta e i PACE a noi forniti risultano avere un

indirizzo I2C cablato (rispettivamente 126 e 127), si è resa necessaria l’utilizzazione

di una distinta linea I2C per ogni coppia PACE-Delta e di una per la DCU presente

su ogni semiibrido. Ciò porta ad un totale di quattordici linee I2C dedicate esclusi-

vamente ai due semiibridi. La quindicesima l’abbiamo usata sulla scheda madre per

accedere alle due PLL, alla QPLL e al GOL.

4.3.2 I reset

I reset della nostra motherboard vengono tutti gestiti dalla logica della Cyclone. In

ingresso a questa vengono portati il Power-On Reset generato dal componente MAX

809T e il reset generato dal DOH sotto le condizioni descritte al paragrafo 3.5.3. La

logica della FPGA distribuisce poi i reset necessari a tutti i componenti di front-end

e a quelli presenti sulla motherboard. La porta parallela proveniente dal CCU ci dà

anche la possibilità di inviare comandi complessi alla Cyclone e di effettuare cicli di

avvio differenziati a seconda della situazione.

4.3.3 I controlli del GOL

Quando il GOL è configurato per trasmettere nella modalità G-Link, esso utilizza per

controllare la trasmissione i segnali CAV (Control Available), DAV (Data Available)

e FF (Force Flag). Invece nella modalità Ethernet i due segnali usati sono: Txen

(Transmit Enable) e Txer (Transmit Error). Questi segnali condividono gli stessi pin

di CAV e DAV.

Il segnale Ready viene usato dal GOL per comunicare quando è pronto a ricevere i

dati. Tutti questi segnali sono stati portati alla FPGA Cyclone.

90

Page 99: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 4.9: In questa figura viene mostrato il percorso seguito dalle linee di resetpresenti nella motherboard. Si vede come sia la Cyclone a gestire i reset di tuttal’elettronica di front-end.

4.3.4 Le flag delle FIFO e della QPLL

Le Fifo hanno la possibilità di segnalare il proprio stato (completamente vuota, piena

a metà o completamente piena) attraverso le flag : Empty, Half-Full e Full. Siccome

questi segnali non sono di importanza vitale li abbiamo inviati a due multiplexer dove

il loro stato può essere interrogato dalla Cyclone per mezzo di un indirizzo a quattro

bit.

La QPLL dispone del segnale ‘locked’ che segnala quando il componente è ‘ag-

ganciato’ alla frequenza del clock.

4.3.5 La configurazione della Cyclone

Sulla motherboard sono stati previsti due connettori a dieci pin destinati alla confi-

gurazione della FPGA (vedi figura 5.2):

91

Page 100: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 4.10: Alcuni dei segnali descritti ai paragrafi 4.3.3 e 4.3.4 presenti sullamotherboard.

1. un connettore di download tipo ByteBlaster II;

2. un connettore per l’interfaccia JTAG, [59].

Il cavo ByteBlaster II [67] viene connesso, attraverso un connettore maschio da

25 pin simile a quello delle comuni porte parallele da stampanti, al PC su cui sono

presenti i file di configurazione e si inserisce nella scheda madre per mezzo di un

connettore femmina a 10 pin (passo 2,54 mm). Da questo connettore vengono inviati

alla Cyclone e alla memoria ‘flash’ del dispositivo di configurazione seriale EPCS1

tutti i segnali necessari alla configurazione. Per maggiori dettagli circa i segnali

necessari alla configurazione vedi il capitolo 5.2.2.

92

Page 101: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

4.4 La Cyclone e l’invio dei dati

Al fine di poter testare la catena di acquisizione abbiamo previsto due uscite diverse

per i dati: una destinata al GOL e da qui alla fibra ottica (che utilizzeremo nella con-

figurazione finale dell’esperimento), l’altra diretta verso i traslatori LVTTL→LVDS

e da qui verso una piattina di cavi ‘twistati’ connessa con la scheda di acquisizione

PCI 7300 (che abbiamo utilizzato in fase di test e durante il testbeam). Per il secondo

sistema di acquisizione è stato implementato un sistema di Strobe-Acknowledge tra

la PCI 7300 e la Cyclone. Invece nella configurazione finale che sarà da noi utiliz-

zata il GOL riceverà le parole di 16 bit da trasmettere e tutti i segnali di controllo

attraverso il connettore a 50 poli presente sul lato destro della motherboard e i dati

saranno inviati in maniera continua senza nessun riscontro dall’altra parte. Quindi la

trasmissione risulta completamente sincrona con latenza fissata, c’è però il rischio che

il ricevitore non si agganci bene e quindi decodifichi male la trasmissione del GOL. E’

per questo motivo che abbiamo previsto il posizionamento della QPLL (Quartz-based

PLL, vedi paragrafo 3.5.6) a monte del GOL in modo da ridurre il jitter presente sul

clock in ingresso.

4.5 L’alimentazione

L’alimentazione della scheda madre è divisa in quattro:

1. la tensione a 1,5 Volt che serve ad alimentare il core della Cyclone;

2. la tensione a 2,5 Volt destinata agli ASIC (PLL, QPLL, LVDS buffer) e alle

piggyback (ADC, GOH, DOH, CCUM) presenti sulla scheda madre (ma anche

ai banchi di I/O 2 e 3 della Cyclone);

3. la tensione a 3,3 Volt destinata alla componentistica commerciale presente sul-

la scheda madre e sulla piggy-ADC (FIFO, MUX, banchi di I/O 1 e 4 della

Cyclone, traslatori etc);

93

Page 102: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

4. la tensione a 2,5 Volt destinata ai semiibridi, fornita da due alimentatori, ognuno

controllato da un distinto segnale di enable

.

Per fornire queste alimentazioni abbiamo utilizzato, nello stesso ordine, i seguenti

componenti:

1. Un alimentatore tipo ADP 3336 della Analog Devices [63] che è un regolatore

lineare molto stabile (±0, 9%) regolabile fino ad un minimo di 1,5 Volt, a bassa

caduta (tipicamente 200 mV a 500 mA) e capace di erogare fino a 500 mA.

2. Un alimentatore tipo LT 1764 della Linear Technology [64] che è un regolatore

lineare molto stabile (±0, 9%) regolabile fino ad un minimo di 1,21 Volt, a bassa

caduta (tipicamente 340 mV a 3 A) e capace di erogare fino a 3 A.

3. Un alimentatore tipo LP 3965 EMP-3.3 della National Semiconductor [65]

che è un regolatore lineare fisso, abbastanza stabile (±1, 5%), a bassa caduta

(tipicamente 380 mV a 1,5 A) e capace di erogare fino a 1,5 A.

4. Due alimentatori (destinati a fornire la tensione a 2,5 Volt ai semiibridi) tipo

LT 1764 di cui viene inoltre utilizzata la funzione di enable attraverso il pin

di shutdown. Se il pin SHDN viene posto ad un livello logico basso allora il

regolatore va in uno stato di basso assorbimento in cui non eroga corrente.

4.6 Il disegno

In figura 4.11 viene mostrato lo ‘sbroglio’ della scheda madre nella sua interezza.

Nell’angolo in alto a destra sono stati sistemati gli alimentatori, con i connettori in

ingresso che ricevono le due tensioni ‘grezze’ a 3,4 e 4 Volt e i connettori in uscita che

alimentano la ‘piggy-ADC’ e i due semiibridi. Sui tre connettori disposti ad ‘U’ posti

in alto a sinistra si innesta la ‘piggy-ADC’. Sui tre connettori allineati posti in basso

a sinistra si innesta invece il CCUM e in basso a destra trova posto il connettore

94

Page 103: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

del GOL. I due connettori centrali ricevono parte dei segnali provenienti dai due

semiibridi, mentre il connettore a 26 poli posto a sinistra porta i segnali da e verso

il Control Ring. Il connettore a 40 poli posto sul lato destro viene utilizzato per

interfacciarsi con la scheda di acquisizione del PC. I due connettori a 10 poli allineati

al bordo inferiore sono quelli utilizzati per la configurazione e per la porta JTAG [59]

della Cyclone.

La sequenza di lavoro che ci siamo imposti è stata di disegnare prima le piste

ad impedenza controllata: abbiamo cominciato da quelle più ‘delicate’, come clock e

trigger, cercando di farle passare tutte dal piano top in modo da non dover far ricorso

a ‘via’ che provocano fenomeni di riflessione. Ove ciò non sia stato possibile abbiamo

fatto passare le restanti piste ad impedenza controllata sul piano bottom cercando di

evitare disallineamenti nella lunghezza delle piste.

95

Page 104: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 4.11: Lo ‘sbroglio’ della scheda madre. In verde le piste e i componenti chesi trovano sul lato superiore (top). In rosso le piste e i componenti che si trovanosul lato inferiore (bottom). In arancione e violetto le piste che passano negli strati(layers) intermedi.

96

Page 105: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Capitolo 5

Risultati

5.1 La costruzione del FED

Figura 5.1: Un’immagine della scheda madre. Sono state evidenziate le FIFO, laFPGA Cyclone, la CCU e le PLL.

La scheda madre del FED, delle dimensioni di 17 cm x 26 cm, è stata realizzata a

partire da un circuito stampato a otto strati di cui quattro sono stati utilizzati come

piani per le alimentazioni ed il ground e quattro per il routing delle piste.

Dopo aver effettuato il disegno dello schema elettrico (così come illustrato al

capitolo 4.6), con il programma Capture di Orcad, abbiamo sbrogliato, utilizzando

97

Page 106: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

il programma Layout di Orcad, più di millequattrocento piste, tra cui cento (tutte

quelle relative ai segnali di tipo LVDS) differenziali. La collocazione dei componenti

e lo sbroglio delle piste sono stati fatti cercando di ridurre al minimo l’intreccio tra i

vari gruppi di segnali. Abbiamo cominciato collocando i connettori della piggy-ADC

in alto a sinistra in modo che questa si allinasse con i bordi sinistro e superiore.

Fatto questo abbiamo sistemato la Cyclone (che per sua natura si interfaccia con la

stragrande maggioranza dei componenti) in una zona il più possibile centrale, ma non

sotto la piggy-ADC per evitare problemi di dissipazione di calore e per una migliore

accessibilità in fase di test. Al disotto della piggy-ADC abbiamo invece collocato le

sei FIFO e tra queste abbiamo fatto passare il bus di sedici piste necessario a portare

i dati digitali da queste alla Cyclone. Nell’angolo in alto a destra abbiamo collocato

gli alimentatori, mentre i componenti relativi alla distribuzione del clock (CCUM,

PLL e LVDS-buffer) sono stati distribuiti nella parte inferiore sinistra. La parte

destra è stata dedicata all’elettronica necessaria all’invio dei dati (GOH, traslatori

LVTTL→LVDS). I connettori necessari ad interfacciare la motherboard sono stati

collocati vicino ai componenti interessati: quello del Control Ring vicino al CCUM,

quello destinato alla scheda di acquisizione PCI 7300 vicino ai traslatori eccetera.

Dei quattro connettori provenienti dai semiibridi due arrivano sulla piggy-ADC e i

restanti due sono stati messi in corrispondenza dei primi in modo da facilitare il

collegamento con Arm 2.

Le piste ad impedenza controllata

Come abbiamo già detto al paragrafo 4.6, la parte più delicata dello sbroglio è stata

la stesura delle piste ad impedenza controllata necessarie a far viaggiare i segnali

LVDS. Per il calcolo dell’impedenza delle piste si sono adottati i parametri riportati

in tabella 5.1 relativi al materiale FR4 (Flame Resistant 4).

Durante lo sbroglio abbiamo adottato un valore per il passo del reticolo di po-

sizionamento delle piste di 0,125 mm e quindi, ad una distanza di tre passi di reticolo,

98

Page 107: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Spessore delle piste (T ) 70µm = 2, 8milsSpessore del dielettrico 0, 2mm = 8milsCostante dielettrica relativa Er (FR4) 4,5

Tabella 5.1: Caratteristiche del substrato utilizzato per la realizzazione della schedamadre.

il centro di due piste è separato da 14,8 mils (1mils = 0, 01inch ≈ 1/40 mm). Stabi-

lito questo risulta che, imponendo una larghezza delle piste (W ) di 7,1 mils, e quindi

una distanza tra le piste (D) di 7,7 mils, l’impedenza calcolata della coppia di piste è

circa 100 Ω, che è il valore da noi voluto. Le formule (valide solo per piste che stiano

su un strato esterno) usate per calcolare l’impedenza differenziale delle coppie sono

le seguenti:

Z0 =87 Ω√

Er + 1, 41· ln

(5, 98H

0, 8W + T

)

Zdiff = 2 · Z0

(1 − 0, 48e−0,96D/H

)

dove tutte le dimensioni sono in mils e H = 8 mils è la distanza delle piste con il

piano di massa più vicino.

5.2 La programmazione della Cyclone

Abbiamo utilizzato per la programmazione della nostra FPGA il programma Quartus

II di Altera [69], un ambiente completo per lo sviluppo di applicazioni sulle logiche

programmabili di Altera.

Un progetto di Quartus II comprende diversi disegni schematici (anche organizza-

ti in blocchi gerarchici) contenenti funzioni logiche standard ma anche funzioni create

‘su misura’ a partire dalle cosiddette LPM (Library of Parameterized Modules). Una

volta creato un progetto si posssono utilizzare diversi strumenti per assegnare i pin

(Assignment Editor, Pin Planner) e per introdurre delle richieste particolari circa le

temporizzazioni (Timing Closure) o la logica (Design Partitions). Una volta com-

pletato il progetto si lancia il compilatore che effettua diverse operazioni, chiamate

‘moduli’:

99

Page 108: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

1. Analysis & Synthesis

2. Fitter

3. Assembler

4. Timing Analyzer

Il modulo di Analisi e Sintesi analizza dal punto di vista logico i file che costitui-

scono il progetto, effettua la sintesi logica per minimizzare gli elementi logici (LE)

utilizzati e per ottimizzare l’utilizzo delle risorse e crea il database del progetto su cui

agiranno i moduli successivi.

Se sono state fatte delle richieste circa le risorse (pin, logica o tempi) allora il Fit-

ter piazza e collega gli elementi logici nella FPGA cercando di soddisfarle per poi

ottimizzare la rimanente parte del progetto selezionando gli opportuni percorsi e

l’assegnazione dei pin. Se non è stato posto alcun vincolo allora il Fitter automati-

camente ottimizza tutto.

Il modulo Assembler genera il file di programmazione specifico per la particolare FP-

GA utilizzata (EP1C6), per lo schema di configurazione adottato (AS, Active Serial)

e per la connessione utilizzata (ByteBlaster II ).

L’ultimo modulo ad essere eseguito è il Timing Analyzer che analizza il comporta-

mento temporale dei segnali. E’ possibile anche effettuare una simulazione temporale

del funzionamento del programma usando il simulatore fornito con Quartus II. Una

volta che si sia compilato il progetto si può finalmente configurare la FPGA attraver-

so il programmatore di Quartus II che utilizza il file creato dal modulo Assembler.

Collegando la porta seriale del PC su cui è stato sviluppato il progetto di Quartus

II con la motherboard, attraverso il cavo ByteBlaster II si scarica il file che va a

configurare la Cyclone e che viene anche scritto nel componente per la configurazione

seriale (EPCS1).

100

Page 109: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

5.2.1 Il pinout

Man mano che le FPGA diventano sempre più potenti e complicate, di pari passo i

pin aumentano di numero, la loro densità cresce ed il numero di regole a cui si deve

sottostare diventa grande. Per esempio, nel nostro caso (i pad sono, internamente al

chip, le piazzole poste sul dice di silicio):

• gli ingressi (le uscite) single-ended devono essere piazzati ad almeno quattro

(cinque) pad di distanza da un pad differenziale;

• sono presenti quattro ‘banchi’ di pin, con quattro alimentazioni separate, che

corrispondono ai quattro lati del componente;

• le linee LVDS devono stare in un banco alimentato a 2,5 V e devono essere

equipaggiate con una rete resistiva esterna composta da tre resistenze (due da

120 Ω in serie e una da 170 Ω in parallelo);

• un banco alimentato a 2,5 Volt può avere ingressi a 3,3 Volt ma non uscite.

Fortunatamente il programma Quartus II, in fase di compilazione, genera un messag-

gio di errore e si blocca se qualche pin viene piazzato non rispettando le regole. Per

evitare di disegnare una scheda che potrebbe non funzionare è stato quindi necessario

effettuare una verifica preventiva dell’assegnazione dei pin. Gli strumenti utilizzabili,

come dicevamo, sono Assignment Editor e Pin Planner. Il primo fornisce un’inter-

faccia tipo foglio di calcolo che permette di assegnare ai singoli segnali di ingresso e

uscita il corrispondente tipo di segnale (LVDS, LVTTL o a 2,5 Volt) e, se necessario,

il numero del pin. Per i segnali LVDS è stato necessario associare il solo pin positivo,

perché le coppie sono già definite. Creata una prima versione del disegno con tutti i

segnali ne sono stati importati i nomi nell’Assignment Editor ed è stata selezionata

una locazione per ogni pin a seconda della dislocazione prevista sulla scheda madre.

101

Page 110: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

5.2.2 La configurazione

Essendo la Cyclone una FPGA con memoria SRAM (cioè volatile) i dati di configu-

razione devono essere caricati ad ogni accensione utilizzando un componente per la

configurazione seriale (EPCS1) che è fondamentalmente una memoria flash su cui si

possono conservare tali dati. Le dimensioni del file binario (non compresso) neces-

sario alla programmazione della Cyclone EP1C6 sono di 1.167.216 bit, e la EPCS1

contiene 1.048.576 bit, quindi, con la funzione di compressione attivata, le dimensioni

sono sufficienti. E’ comunque possibile migrare dalla EPCS1 alla EPCS4 (4 Mbit),

perché esse hanno lo stesso package SOIC-8. Questo potrebbe risultare utile nel caso

volessimo fornire alla Cyclone più versioni dello stesso programma per ovviare per

esempio ad errori indotti dalla radiazione o per implementare funzioni diverse a se-

conda della versione caricata.

E’ previsto che la configurazione della Cyclone avvenga attraverso lo schema AS (Ac-

tive Serial) che utilizza quattro segnali tra il componente per la configurazione seriale

e la FPGA. La figura 5.2 mostra i collegamenti tra il cavo ByteBlaster II, la EPCS1

e la Cyclone. Lo schema è stato complicato leggermente perché abbiamo previsto

anche la possibilità di utilizzare l’interfaccia JTAG.

5.3 Il programma della Cyclone

E’ stato creato un progetto con l’ausilio di Quartus II per assolvere alle seguenti

funzioni:

1. decodifica dei segnali veloci;

2. controllo della scrittura e della lettura dei dati nelle FIFO;

3. gestione dello scambio dei segnali di strobe e acknowledge con la scheda di

acquisizione.

102

Page 111: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

DownloadCable(JTAG Mode)

10−Pin Male Header (top View)

VCC

VIO

DATA

DCLK

nCS

ASDI

DATA

DCLK

nCSO

ASDO

Serial ConfigurationDevice Cyclone FPGA

10 k10 k

VCC VCC

GND

nCEO

nCE

TCK

TDO

TMS

TDI

nSTATUS

nCONFIGCONF_DONE

10 k

GND

1 k

10 k

VCC10 k

VCC

VCC (1)Pin 1

Pin 1

10 k

VCC

MSEL1

MSEL0

GND

N.C.

DownloadCable(AS Mode)

10−PinMale Header

(1) (1) (1)

Figura 5.2: I segnali necessari alla configurazione della Cyclone nel modo AS (ActiveSerial) utilizzando sia il cavo di download che un’interfaccia JTAG. Sono inoltreindicati i collegamenti con il componente per la configurazione seriale.

La Cyclone da noi utilizzata dispone di due PLL ognuna delle quali ha un ingresso

dedicato che può essere utilizzato sia per ingressi differenziali che unipolari. Abbiamo

così acquisito il segnale di clock a 40 MHz proveniente dalla prima TPLL presente

sulla scheda FED attraverso i due pin dedicati (clk0 e clk1). Questo segnale è stato

trattato attraverso la megafunzione alt_pll che ci ha permesso di generare un segnale

di clock a 80 MHz.

5.3.1 La decodifica

Un ingresso differenziale fornisce alla Cyclone il segnale T1 che contiene codificata su

tre bit consecutivi l’informazione relativa alla presenza di uno dei tre segnali veloci

(Impulso di calibrazione, Resynch, Trigger). Anche tale segnale proviene dalla prima

TPLL presente sulla scheda FED e risulta quindi sincrono al clock a 40 MHz. Per

la decodifica del segnale T1 abbiamo utilizzato uno shift register costituito da tre

103

Page 112: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 5.3: Schema delle funzioni necessarie al funzionamento della scheda FED chesono state implementate nella logica programmabile della Cyclone.

flip flop di tipo DFF. Il segnale così decodificato viene inviato a tre coppie di segnali

differenziali in uscita destinati ai due semimoduli costituenti l’ibrido FEH.

5.3.2 La gestione delle FIFO

Abbiamo utilizzato un contatore (megafunzione LMP_counter) a 10 bit per con-

trollare il ciclo di scrittura che dura 768 colpi di clock a 80 MHz e che avviene in

contemporanea in tutte le sei FIFO. Sempre la stessa macrofunzione fornisce la tem-

porizzazione della funzione di lettura che non avviene per tutte le sei FIFO contem-

poraneamente ma la cui sequenza viene controllata da un opportuno segnale end-read

che fornisce la marca temporale che dà inizio ad una nuova lettura.

La lettura completa delle sei FIFO presenti su una scheda necessita perciò di 6 x

768 = 4608 colpi di clock.

104

Page 113: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

5.3.3 L’invio dei dati

I dati sono stati inviati ad una scheda di acquisizione PCI 7300 attraverso l’utiliz-

zo di un sistema di handshaking : cioè i dati presenti sul bus a sedici bit vengono

trasferiti attraverso l’utilizzo di due segnali: REQ (strobe) e ACK (acknowledge). La

successione delle operazioni segue i seguenti passaggi:

1. quando un dato è disponibile sul bus la Cyclone asserisce il segnale REQ (e non

lo fa finché il segnale ACK non è asserito);

2. la scheda PCI acquisisce il dato presente sul bus e lo conserva nella FIFO;

3. la scheda PCI asserisce il segnale ACK per segnalare che è pronta a ricevere

altri dati;

4. vengono ripetuti i passaggi da 1 a 3 finché la FIFO della scheda ricevente non

è piena e a questo punto i dati vengono trasferiti alla memoria RAM del PC

attraverso l’utilizzo del sistema DMA (Direct Memory Access).

Poiché avevamo due motherboard ed un’unica scheda ricevente abbiamo utilizzato

un configurazione master-slave in cui la seconda scheda veniva abilitata a trasmettere

solo quando la prima avesse terminato l’invio dei dati. Questo controllo è stato effet-

tuato un segnale apposito denominato StrobeEnable che oltretutto è stato utilizzato

per porre in alta impedenza le uscite dei traslatori (LVCMOS→LVDS) posti sulla

scheda che in quel momento doveva risultare inattiva.

Le operazioni da 1 a 3 sopra indicate impiegano circa 230 nsec a concludersi

e siccome due schede inviano un totale di 9216 parole per ogni evento, ne risulta

(tenendo conto anche di un piccolo ritardo dovuto alla commutazione tra master e

slave) che il rate di eventi trasmessi può essere di circa 330 Hz. Durante il testbeam,

a causa dell’ulteriore ritardo causato dalla lunghezza (30 m) della piattina di cavi

‘twistati’ utilizzati per portare i dati dall’area sperimentale alla sala controllo, il

tempo necessario a concludere l’acquisizione di una parola è aumentato a circa 600

105

Page 114: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

nsec.

Comunque il vero collo di bottiglia si è rivelato essere ADAMO, per cui in fase di

acquisizione sotto il fascio abbiamo costantemente avuto un rate di acquisizione degli

eventi di cira 30 Hz.

5.4 I test

Figura 5.4: Termografia dell’ibrido sinistro.

In attesa del testbeam che avrebbe dovuto svolgersi a Ginevra abbiamo eseguito

una serie di test relativi alle alimentazioni, alla digitalizzazione dei dati da parte

delle piggy-ADC, alla scrittura dei dati nelle Fifo, alla lettura di quest’ultime e alla

trasmissione dei dati alla scheda di acquisizione.

I test sono stati effettuati utilizzando il segnale di clock generato dal quarzo interno

al FEC.

Per testare la digitalizzazione dei dati da parte delle piggy-ADC abbiamo prima

connesso due semiibridi e controllato la struttura dei dati in uscita. Abbiamo potuto

osservare che il frame di uscita dei segnali DataValid (vedi figura 5.5) e quello dei

dodici bit meno significativi era perfettamente sovrapponibile (durata 146 colpi di

106

Page 115: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

mA@2,5V mA@3,3V mA@2,5V mA@3,3V(previsto) (previsto) (misurato) (misurato)

Motherboard 450 276 152 94MB+CCU 550 276 210 94MB+CCU+DOH 660 276 557 94MB+CCU+DOH+ADC 1200 474 1310 406MB+CCU+DOH+ADC+1FEH* 474 1840 406MB+CCU+DOH+ADC+1FEH 2773 474 2660 430MB+CCU+DOH+ADC+2FEH 4346 474 3920 460

Tabella 5.2: Confronto fra consumo previsto e misurato. I valori sono solo in parteconfrontabili e l’attenzione maggiore dovrebbe essere posta sulla terza e sulle ultimedue righe. L’asterisco sta ad indicare che i Pace erano in sleep-mode.

clock per i tre campionamenti, intervallati da 38 colpi di clock) a parte uno sposta-

mento di 31 colpi di clock. Questo ritardo tra la presentazione del DataValid e dei

dati digitali è imputabile a: 2x8 (ColumnAddress) + 2x1 (previsto dalla temporiz-

zazione di uscita del pace) +2x6 (pipeline dell’ADC) + 5 ns in uscita dall’ADC.

Per mezzo di un generatore di funzioni Tektronix modello AFG 3101 applicato diret-

tamente sugli ingressi degli ADC abbiamo anche potuto acquisire i dati relativi ad

una rampa di ampiezza 0,9 Volt centrata su un valore di common mode di 1,25 Volt.

Per quanto riguarda le alimentazioni esse sono state controllate inserendo progres-

sivamente un elemento alla volta nella catena di acquisizione (vedi tabella 5.2). E’

stato confortante osservare che i consumi erano in linea con quanto previsto in fase

di progettazione. Abbiamo potuto apprezzare anche la differenza di consumo tra un

PACE spento (più precisamente in sleep-mode) ed uno acceso (in run-mode) che è

risultata essere di 140 mA circa.

5.5 Il fascio di test

Nei giorni che vanno dal 21 agosto al 4 settembre 2006 ci siamo recati presso i labo-

ratori del CERN a Ginevra per effettuare un test congiunto con i gruppi che si oc-

cupano della costruzione del rivelatore Arm 1 nella sua interezza (Shibaura Institute

of Technology, Saitama e Kanagawa University, Yokohama, Giappone) e della parte

107

Page 116: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 5.5: La caratteristica struttura dei dati relativi ai ventiquattro PACE che sipuò osservare in uscita da due FED che inviano i propri dati in una configurazionemaster-slave.

calorimetrica del rivelatore Arm 2 (STE laboratory Nagoya University, Nagoya, Giap-

pone). Il testbeam si è svolto nell’Area Sperimentale Nord H4 nel sito di Prevessin,

utilizzando il fascio prodotto dal SPS (Super Proton Synchrotron).

Durante il testbeam, che è durato dal 28 agosto al 4 settembre, i due calorimetri

di LHCf sono stati esposti a fasci di muoni, elettroni (fino a 200 GeV) e protoni (fino

a 350 GeV) al fine di:

1. effettuare una calibrazione della scala assoluta di energia dei calorimetri;

2. effettuare una misura della risoluzione spaziale del sistema tracciante al silicio

di Arm 2.

E’ stato montato anche il rivelatore ADAMO [70] per ricostruire con precisione la po-

sizione e la direzione del fascio. Il nostro gruppo aveva l’obiettivo specifico di testare

il funzionamento della parte relativa all’acquisizione ed al controllo di un layer del

sistema tracciante del rivelatore Arm 2. Il layer in questione è costituito da due

108

Page 117: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 5.6: L’immagine del gruppo che ha partecipato al testbeam e che è statapubblicata sul numero 42 del CERN bulletin.

moduli (X e Y) formati a loro volta da due semiibridi ciascuno connessi ai sensori

a microstrisce di silicio. Le connessioni dai Delta alle microstrisce di silicio sono

state effettuate con tecniche micro-bonding. Il tutto è stato racchiuso in un’intela-

iatura metallica e posto alla distanza di 12 lunghezze di interazione all’interno del

calorimetro. Nelle posizioni che dovranno essere occupate dagli altri layer che saran-

no presenti nella configurazione finale (6-30-42 lunghezze di radiazione) sono stati

provvisoriamente inseriti tre moduli ‘dummy ’.

Con il modulo funzionante montato su Arm 2 e collegato abbiamo potuto osser-

vare la struttura dei dati in uscita (vedi figura 5.5). L’intera struttura è larga 9216

letture (768 x 12). Ogni finestra corrisponde all’acquisizione dei dati ottenuti da due

PACE relativi ad un campionamento dei tre consecutivi. Quindi ogni finestra è larga

146 letture ((32 dati x 2 + 8 ColumnAddress + 1) x 2) ed al suo interno presenta

alternatamente i dati relativi a due PACE che possono presentare un piedistallo leg-

germente diverso: da qui la differente larghezza delle fasce che si può notare in figura.

La struttura che si vede in questa figura è riferita a due motherboard che abbiamo

collegato in una configurazione master-slave allo scopo di poter inviare i dati di en-

109

Page 118: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 5.7: Un’immagine che mostra i collegamenti tra le nostre due motherboard eil rivelatore Arm 2 nell’area del testbeam.

trambe alla stessa scheda di acquisizione attraverso una piattina di 20 coppie di cavi

‘twistati’ lunga 30 metri. Abbiamo quindi collocato il complesso costituito dalle due

motherboard (vedi figura 5.8) nell’area del testbeam.

I consumi sono risultati in linea con quanto osservato a Firenze e abbiamo misurato

anche la corrente di buio del sensore al silicio che è risultata essere di circa 140 nA.

Prima di cominciare a prendere dati abbiamo misurato con l’oscilloscopio il ritardo

tra il trigger che ci veniva inviato dagli scintillatori del calorimetro e quello che

arrivava ai PACE. Esso è risultato di 1, 68 µsec con un jitter di 25 nsec. Il primo

valore è dovuto ai 30 metri di cavo più i 200 metri di fibra ottica più il ritardo

dell’elettronica. L’ampiezza del jitter è giustificata invece dal fatto che in una finestra

110

Page 119: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 5.8: Un’altra immagine della configurazione adottata per il testbeam.

di 25 nsec il trigger si poteva presentare ovunque perché quello da noi utilizzato non

era sincronizzato al passaggio dei pacchetti. Partendo da questo valore indicativo

(68 ≈ 1, 68µs/25ns) della latenza da applicare ai PACE siamo andati alla ricerca del

valore corretto osservando l’ampiezza del segnale in tre campionamenti successivi e

cercando quelle situazioni in cui il massimo del segnale si presentasse (nella maggior

parte dei casi) al secondo campionamento. Dopo alcune prove abbiamo trovato la

situazione ottimale imponendo una latenza di 71 ai PACE e ritardando di 17,5 nsec

il trigger loro destinato.

Una console presente nella control room permetteva di selezionare il tipo di par-

ticelle costituenti il fascio e la loro energia.

Abbiamo fatto misure con:

• elettroni da 100 e da 200 GeV;

• protoni da 350 GeV;

111

Page 120: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 5.9: Profilo trasverso dello sciame prodotto da un elettrone da 200 GeV cheincide sulla torre grande del calorimetro.

• muoni da 100 GeV.

Per mezzo di un programma di acquisizione sviluppato utilizzando ROOT [71]

abbiamo potuto anche vedere i primi eventi indotti dalle particelle del fascio. Per

prima cosa è stato sviluppato un programma che a partire da un run di piedistalli

calcolasse questi ultimi per poi sottrarli da un run di eventi. Nella figura 5.9 possiamo

osservare lo sviluppo trasversale dello sciame elettromagnetico dovuto ad un e− da

200 GeV.

Nelle figure 5.10, 5.11, 5.12 e 5.13, possiamo invece vedere la distribuzione del-

l’ampiezza del segnale relativa ad un fascio di elettroni, rispettivamente, da 100 GeV

in alto guadagno e da 200 GeV in basso guadagno.

Da questi dati si può già evincere il buon funzionamento dell’apparato. Nei

prossimi mesi verrà svolto un programma di analisi dettagliate per quantificare le

prestazioni raggiunte.

5.6 Conclusioni

La catena dell’elettronica necessaria per l’acquisizione dei dati dal tracciatore al silicio

di LHCf è complessa e comprende molti elementi:

1. i rivelatori a microstrisce di silicio;

112

Page 121: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 5.10: Spettro dell’ampiezza dei segnali misurati per elettroni da 100 GeV inalto guadagno.

Figura 5.11: Correlazione tra le ampiezza dei segnali visti dal modulo X e dal moduloY con un fascio di elettroni da 100 GeV in alto guadagno.

113

Page 122: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Figura 5.12: Ampiezza dei segnali per elettroni da 200 GeV in basso guadagno.

Figura 5.13: Correlazione tra le ampiezza dei segnali visti dal modulo X e dal moduloY con un fascio di elettroni da 200 GeV in basso guadagno.

114

Page 123: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

2. gli ibridi (destro e sinistro) che formano il segnale;

3. i cavi coassiali che portano il segnale analogico alle piggy-ADC ;

4. le piggy-ADC ;

5. la scheda madre o FED (Front End Driver);

6. il GOL per la trasmissione su fibra ottica verso la sala di controllo;

7. il ricevitore e il PC per l’acquisizione dei dati.

Invece, per quanto riguarda il sistema di controllo esso comprende:

1. il Front End Controller posto nel PC di controllo che invia i dati su fibra ottica

ai:

2. DOH che inviano i dati su linee LVDS alle:

3. CCU che comandano i:

(a) componenti di front-end ;

(b) componenti presenti sulla scheda madre.

Tutte queste funzioni fanno capo in un modo o nell’altro alla scheda madre FED da

noi realizzata che ha sostanzialmente svolto la sua funzione di mostrare la funzionalità

dei componenti utilizzati nell’acquisire dati dall’elettronica di front-end e nell’inviarli

alla control room.

Il mio lavoro è consistito nel seguire fin dall’inizio tutte le fasi del progetto ed in

particolare: ho disegnato gli schemi della piggy-ADC e della motherboard.

Ho coadiuvato la fase di sbroglio (altrettanto difficile e delicata).

Ho collaborato alla programmazione della logica necessaria al funzionamento delle

due motherboard utilizzate nei test.

Ho partecipato al testbeam.

115

Page 124: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Possiamo tranquillamente dire che il cuore e la parte più impegnativa del progetto

di sviluppo del tracciatore al silicio per Arm 2 di LHCf sia stata la realizzazione della

scheda madre, cosa che è stata fatta nella tempistica relativamente ristretta imposta

dalla programmazione del beamtest svoltosi al CERN di Ginevra dal 21 agosto al 4

settembre 2006.

Arm 1 che attualmente si trova a Firenze verrà installato al CERN nel gennaio

2007.

Anche Arm 2 si trova a Firenze dove rimane in attesa di essere integrato con i

layer di silicio mancanti. Questa operazione dovrebbe concludersi ad aprile 2007.

L’installazione di Arm 2 è prevista per l’autunno del 2007.

116

Page 125: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Bibliografia

[1] O. Adriani et al., per la Collaborazione LHCf, Technical Design Report of the

LHCf experiment CERN-LHCC 2006-004; LHCF-TDR-001; 7 febbraio 2006

http://binary.stelab.nagoya-u.ac.jp/LHCf/

[2] Hillas A. M., 1972, Cosmic Rays Oxford Pergamon Press

[3] Rossi B., K. Greisen, 1941, Rev. Mod. Phys. 13, 240

[4] Greisen K., Prog. Cosm. Rays Phys., Vol. III (1956), 1 (edito da J. G. Wilson)

[5] Kamata K., J. Nishimura, Suppl. Prog. Theor. Phys. 6 (1958), 93

[6] Greisen K., Ann. Rev. Nucl. Sc. 10 (1960), 63

[7] Nishimura J., Handbuch der Phys. XLVI/2, 1

[8] K. Greisen, Phys. Rev. Lett., 16 (1966), 748.

[9] G. T. Zatsepin e V. A. Kuz’min, JETP Lett., 4 (1966), 78.

[10] A. A. Penzias e R. W. Wilson, Astrophys. J., 142 (1965), 419.

[11] J.J. Engelmann et al., Astron. & Astrophys., 233 (1990), 96.

[12] Cosmic Abundances of Matter (ed. C. Jake Waddington) A.I.P. Conf. Proc. 183

(1988), 111.

[13] W. M. Yao et al., Journal of Physics G 33, 1 (2006) Particle Data Group

117

Page 126: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

[14] M. Nagano et al., J. Phys. G10, 1295 (1984)

M. Teshima et al., J. Phys. G12, 1097 (1986).

[15] S.P. Swordy et al., Astropart. Phys. 18, 129 (2002).

[16] V.S. Ptuskin et al., Astron. & Astrophys. 268, 726 (1993).

[17] J. Linsley, Phys. Rev. Lett. 10, 146 (1963)

D.J. Bird et al., Astrophys. J. 441, 144 (1995)

M. Nagano e A.A. Watson, Rev. Mod. Phys. 72, 689 (2000).

[18] E. Pare et al., Phys. Lett., B242 (1990), 531.

[19] M. Takeda et al., Phys. Rev. Lett., 81 (1998), 1163

M. Takeda et al. (AGASA Collab.), Astropart. Phys., 19 (2003), 447

N. Hayashima et al. (AGASA Collab.), Phys. Rev. Lett., 73 (1994), 3941

N. Hayashima et al. (AGASA Collab.), Proc. of the 26th ICRC (1999), OG.1.3.04

[20] S. Yoshida et al., Astrophys. J., 479 (1997), 547

[21] S. Coleman e S. L. Glashow, Phys. Rev. D59 (1999), 116008

[22] H. Sato e T. Tati, Progr. Theor. Phys., 47 (1972), 1788

[23] R. U. Abbasi et al. (HiRes Collab.), Phys. Lett. B619 (2005), 271

R. U. Abbasi, T. Abu-Zayyad et al., astro-ph 0208301

T. Abu-Zayyad et al., Phys. Rev. Lett., 92 (2004), 151106.

[24] S. Yoshida, Rapporteur paper, Proc. of 29th ICRC, Pune India 361

The Pierre Auger Collaboration, Proc. of the 29th Int. Cosmic Ray Conf., 7

(2005) 387

P. Sommers et al., The Pierre Auger Collaboration, Proc. 29th Int. Cosmic Ray

Conf., Pune, India (2005) astro-ph 0507150

P. Mantsch for the Pierre Auger Collaboration in The Pierre Auger Observatory:

Progress and First Results astro-ph 0604114

118

Page 127: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

J. Bluemer for the Pierre Auger Collaboration in Frontiers of Cosmic Ray Sci-

ence edito da T. Kajita, Y. Asaoka, A. Kawachi, Y. Matsubara e M. Sasaki,

Universal Academy Press (2003).

[25] Y. Arai et al., Proc. of 28th Int. Cosmic Ray Conf., Tsukuba Giappone, 2 (2003),

2025

Fukushima et al., TA collaboration. 28th ICRC conference proc. Tukuba (2003).

[26] O. Catalano et al., in Space Factory on International Space Station edito da T.

Ebisuzaki, T. Handa e Y. Takahashi, Universal Academy Press (2000) 21

http://www.pa.iasf.cnr.it

[27] J. Knapp et al., Astropart. Phys. 19 (2003), 77

[28] A. Rossi, Residual Gas Density Estimations in the LHC Insertion Regions IR1

and IR5 and the Experimental Regions of ATLAS and CMS for Different Beam

Operations

LHC Project Report Academy Press 21

[29] K. Kasahara et al., Phys. Rev. D66 (2002), 052004

[30] A. Viciani, Tesi di Laurea, in preparazione.

[31] T. Tamura et al., Proc. of 28th Int. Cosmic Ray Conf., Tsukuba Giappone, 4

(2003), 2189

[32] S. Torii et al., Nucl. Inst. Meth. A452 (2000), 81

[33] S. Torii et al., Astrophys. J. 559 (2001), 973

[34] http://atlas.web.cern.ch/Atlas/index.html

[35] http://aliceinfo.cern.ch/index.html

[36] http://lhcb.web.cern.ch/lhcb/

119

Page 128: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

[37] http://totem.web.cern.ch/Totem/

[38] CMS L1 Trigger Control System - CMS note 2002/033

CMS Trigger Sequencer Card - User Manual (Versione 4.0)

[39] CMS Tracker Technical Design Report, CERN/LHCC 98-6, CMS TDR 5

http://cms.cern.ch/.

[40] D.J. Bird et al., Astrophys. J. 424, 491 (1994)

R. U. Abbasi, T. Abu-Zayyad et al., astro-ph 0208243

De Marco et al., Proc. of 28th Int. Cosmic Ray Conf., Tsukuba Giappone, Vol.

2 (2003) 655

http://hires.physics.utah.edu/

[41] Sciutto S.J., AIRES: a system for air showers simulations astro-ph 9911331

[42] Roesler S. et al., The Monte Carlo Event Generator DPMJET-III hep-ph

0012252

http://home.cern.ch/sroesler/dmpjet3.html

[43] N.N. Kalmykov et al., Nucl. Phys. Proc. Suppl., 52B (1997), 17

S. Ostapchenko, hep-ph 0412332

S. Ostapchenko, hep-ph 0501093

[44] http://www.eljentechnology.com/datasheets/ EJ260 data sheet.pdf

[45] Takeda et al., astro-ph 0209422

[46] Y. Unno et al. Atlas silicon microstrip semiconductor tracker (SCT) Nucl.

Instrum. Meth. A453 (2000), 109

[47] A. Peisert, Silicon microstrip detectors, nota interna di CMS, Padova, 27 Gennaio

1992

[48] A. Peisert, Silicon microstrip detectors, in: Instrumentation in High Energy

Physics, F. Sauli, ed., World Scientific 1992

120

Page 129: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

[49] K. Kloukinas et al., Characterization and production testing of a quad 12 bit 40

Ms/sec A/D converter with automatic digital range selection for calorimetry

G. Minderico et al., A CMOS low power, quad channel, 12 bit, 40Ms/sec pipelined

ADC for applications in particle physics calorimetry.

[50] P. Aspell et al., Delta: a charge sensitive front-end amplifier with switched gain

for low-noise, large dynamic range silicon detector readout Nucl. Inst. Meth.

A461 (2001), 449

P. Aspell, PACE 3b - User Manual (Versione 1)

P. Aspell, PACE 3 - Digital Specification (Versione 3)

P. Aspell, Conception et mise au point de l’électronique frontale du détecteur de

pied de gerbe (Preshower) de l’expérience CMS, PhD Thesis, Université Claude

Bernard - LYON - France

P. Aspell et al., PACE3 : A large dynamic range analog memory ASIC assembly

designed for the readout of silicon sensors in the LHC CMS Preshower.

[51] G. Magazzu et al., DCUF: User Guide (Versione 3.0) CERN EP/MIC

[52] CMS Ecal Technical Design Report, CERN/LHCC 97-33, CMS TDR 4

A. Nardulli et al., The CMS ECAL Very Front End Electronics: production and

tests.

[53] K. Kloukinas, CMS Preshower Front End Redout and Control System

[54] P. Moreira et al., GOL Reference Manual (Versione 1.9) Ottobre 2005

[55] CMS Tracker Optical Control Link Specification Part 2: Front-end Digital Op-

tohybrid (Versione 2.2). CERN EP/CME Document ID: CMS-TK-ES-0019

CMS Tracker Optical Control Link Specification Part 5: Back-end

Opto-transceiver Module (Versione 2.1). CERN EP/CME

[56] A. Marchioro et al., CCU25: Communication and Control Unit ASIC for Em-

bedded Slow Control (Versione 2.1)

121

Page 130: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

C. Paillard et al., The CCU25: a network oriented Communication and Control

Unit integrated circuit in a 0.25µm CMOS technology.

[57] P. Placidi et al., CMS Tracker PLL Reference Manual (Versione 2.1) CERN

EP/MIC

[58] P. Moreira,QPLL Manual Quartz Crystal Based Phase-Locked Loop for Jitter

Filtering Application in LHC (Versione 1.1) CERN EP/MIC

[59] AN 39: IEEE Std. 1149.1 (JTAG) Boundary-Scan Testing in Altera Devices

[60] F. Drouhin et al., The CERN CMS Tracker Control System

CMS Internal Note 2004/000

C. Ljuslin et al., Optical FEC: Front End Control Unit for Embedded Slow

Control.

[61] IBM Token-Ring Network Architecture Reference, IBM Publication SC30-3374.

[62] The I2C-BUS specification, Philips Semiconductors, Versione 2.1

[63] ADP3336-High Accuracy Ultralow IQ, 500 mA anyCAP Adjustable Low Dropout

Regulator, Analog Devices.

[64] LT1764 Series-3A, Fast Transient Response, Low Noise, LDO Regulators, Linear

Technology.

[65] LP3962/LP3965-1.5A Fast Ultra Low Dropout Linear Regulators, National

Semiconductor.

[66] SN74V225 Datasheet, Texas Instruments.

[67] ByteBlaster II Parallel Port Download Cable Data Sheet, Altera

ByteBlaster II Download Cable User Guide, Altera.

122

Page 131: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

[68] Cyclone Device Handbook, Volume 1 e 2, Altera

Evaluating Power for Altera Devices, Application Note 74, Altera

Pin Information for the Cyclone EP1C6 Device, Final version 1.4, Altera.

[69] Introduction to Quartus II, Versione 5.0, Altera

Quartus II Handbook, Versione 5.1, Volumi 1-5, Altera.

[70] L. Bonechi, Sviluppo di uno Spettrometro Magnetico per la Misura di Raggi Co-

smici a Terra e Primi Risultati, Tesi di Laurea, Università di Firenze

L. Bonechi, Misure di Raggi Cosmici a Terra con l’Esperimento ADAMO, Tesi

di Dottorato, Università di Firenze.

[71] ROOT An Object-Oriented Data Analysis Framework, User Guide 5.12

http://root.cern.ch/

123

Page 132: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

124

Page 133: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Ringraziamenti

Desidero ringraziare innanzitutto Marina e Federico per avermi pazientemente sop-

portato e sostenuto.

Ringrazio inoltre tutto il gruppo di LHCf di Firenze per il lavoro svolto ed in parti-

colare:

Mauro Grandi per lo sbroglio delle schede fatto con estrema dedizione e per gli utilis-

simi consigli;

Roberto Ciaranfi ed il Servizio di Elettronica per la realizzazione tempestiva degli

ibridi;

Andrea Viciani per la collaborazione al lavoro preparatorio di questa tesi;

Il Prof. Oscar Adriani ed il Dott. Lorenzo Bonechi per le utili indicazioni fornitemi.

Ultimo, ma non per stima e affetto, il Prof. Raffaello D’Alessandro per la pazienza e

la fiducia dimostrate nel seguire questa tesi.

Page 134: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

126

Page 135: Progettazione e realizzazione di una scheda di ...hep.fi.infn.it/LHCf/Pages/Documents/Theses/tesi_noce.pdf · Tesi di Laurea Progettazione e realizzazione di una scheda di digitalizzazione

Università degli Studi di FirenzeFacoltà di Scienze Matematiche Fisiche e Naturali, Corso di Laurea in Fisica

Tesi di LaureaProgettazione e realizzazione di una scheda di digitalizzazione dei dati

per i sensori al silicio di LHCf.

Relatore: Prof. Raffaello D’AlessandroLaureando: Vladimiro Noce

In questa tesi viene presentato il lavoro fatto per progettare e costruire il prototipodella scheda di digitalizzazione e controllo (FED) del sistema tracciante al Silicio diArm 2, uno dei due ‘bracci’ dell’esperimento LHCf (Large Hadron Collider forward)che sarà installato presso il collisionatore LHC nella prima metà del 2007. Quattrodi queste schede acquisiranno i dati provenienti da altrettanti doppi strati di rivela-tori a microstrisce e, dopo averli convertiti in parole di 12 bit li invieranno su fibraottica verso la sala di controllo dell’esperimento posta a 140 metri di distanza. Esseprovvederanno anche alla distribuzione dei controlli all’elettronica di front-end.L’esperimento LHCf è stato concepito allo scopo di misurare la sezione d’urto diproduzione in avanti di π0, γ e neutroni ad un’energia equivalente nel laboratoriodi 1017 eV , misura che servirà a migliorare i modelli teorici utilizzati per ricostruirel’energia dei raggi cosmici di altissima energia sulla base dello studio dei secondariprodotii negli sciami atmosferici. Ciò aiuterà, tra l’altro, a dirimere la controver-sia tra diversi gruppi sperimentali sull’esistenza o meno di raggi cosmici con energieeccedenti i 1020 eV (oltre il cosiddetto cutoff GZK).

Dopo una descrizione dei due calorimetri Arm 1 e Arm 2 che costituiscono LHCf,viene presentato il sistema tracciante del rivelatore Arm 2 e i componenti utilizzati,quindi vengono illustrate le fasi della progettazione degli schemi della piggy-ADCe della motherboard (le due schede che compongono il FED), dello sbroglio e dellarealizzazione del prodotto finito.

Nell’ultimo capitolo viene trattata la programmazione della logica necessaria alfunzionamento delle due motherboard utilizzate nei test e vengono discussi i risultatiottenuti in occasione dell’utilizzo di due schede FED congiuntamente ad una coppiadi rivelatori al silicio sotto il fascio del SPS (testbeam svolto al CERN di Ginevra trail 28 agosto e il 4 settembre 2006).

Anno Accademico 2005-2006