Tutorial Saponara Greco V6 17Aprile

186
2012 IEEE Radar Conference, May 7-11, Atlan ta TUTORIAL RF and Digital Components for Highly-Integrated Low-Power RADAR  Sergio Saponara & Maria S. Greco Department of Inform ati on Engineering University of Pisa   

description

MS word tutorial for saponara

Transcript of Tutorial Saponara Greco V6 17Aprile

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    1/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    TUTORIAL

    RF and Digital Components for Highly-IntegratedLow-Power RADAR

    Sergio Saponara & Maria S. Greco

    Department of Inform at ion Engineering

    Univers i ty of Pisa .

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    2/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Speakers

    Sergio Saponara is Associate Professor of ElectronicsMaria S. Greco is Associate Professor of Telecomunications

    both at the

    Department of Information Engineering

    University of Pisa, via G. Caruso 16, 56122, Pisa, Italy

    [email protected], [email protected]

    mailto:[email protected]:[email protected]:[email protected]:[email protected]
  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    3/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Outline of the tutorial

    Scenarios and applications for highly-integrated

    low-power RADAR

    RADAR architecture and analog-digital

    partitioning

    RF/mm-Wave RADAR transceivers and ADCUbiquitous low-power RADAR case studies:

    vital sign detection, automotive driver assistance

    Digital signal processing for RADARHW-SW implementing platforms for RADAR

    digital signal processing

    Conclusions

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    4/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Outline of the tutorial

    Scenarios and applications for highly-integrated

    low-power RADAR

    RADAR architecture and analog-digital

    partitioning

    RF/mm-Wave RADAR transceivers and ADCUbiquitous low-power RADAR case studies:

    vital sign detection, automotive driver assistance

    Digital signal processing for RADARHW-SW implementing platforms for RADAR

    digital signal processing

    Conclusions

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    5/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    The semiconductor components market is growing..

    driven by highly integrated digital-basedubiquitous systems realized in standard

    Silicon (Si)-based technologies..

    Source: iSuppli

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    6/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Technology evolution driven by large volumeHW-SW systems addressing societal needs

    (health care, energy, security, safety, intelligenttransport..)

    Source: World Semiconductor Trade Statistics

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    7/1862012 IEEE Radar Conference, May 7-11, Atlanta

    Electronics evolution

    Not only nanoscale CMOS but also System-in-Packageintegration of passives, RF & mm-Wave, high voltage,

    sensors/actuators (MEMS) ..

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    8/1862012 IEEE Radar Conference, May 7-11, Atlanta

    Ubiquitous RADAR applications

    Although RADAR development was pushed by military applications in II

    world war with high-power, large size and long-distance systems, today the

    RADAR is becoming an ubiquitous technology adopted for:

    Safer transport systems in automotive (automatic cruise control, urban

    traffic warning, parking aid, obstacle detection), railway (crossing

    monitoring, obstacle detection), ships

    Info-mobility in urban, airport or port scenarios

    Civil engineering, (static and dynamic structural health monitoring,

    landslide monitoring, ground penetration for detecting pipes, electric

    lines,.)

    Distributed surveillance systems (smart cities, airports, banks, schools)

    mm-wave body scanner for security

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    9/1862012 IEEE Radar Conference, May 7-11, Atlanta

    Ubiquitous RADAR applications

    Remote bio-signal detection for health care (heart rate, breath rate)

    Elderly/infant assistance (fall detection, sudden infant death

    syndrome,..)

    Civil protection (e.g. detection of buried people in case of earthquakes,

    or under the snow after an avalanche or other natural disasters, or even

    in war scenarios)Contactless industrial measurements and in harsh environments

    Through-wall target detection

    RADAR sensing is suited to address societal needs ofsafety, security health-care, intelligent transport

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    10/1862012 IEEE Radar Conference, May 7-11, Atlanta

    Ubiquitous highly-integrated low-powerRADAR

    RADAR can become ubiquitous adopted as sensing system for large

    volume applications?

    RADAR as EM sensor can offer big advantages for large volume highly

    integrated applications w.r.t. other technologies:

    operations in all weather and bad light conditions

    contactless sensing and no line of sight sensing

    non ionizing radiations

    ground penetrating capabilities

    multi parameter sensing (target detection, distance, speed, angles)

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    11/1862012 IEEE Radar Conference, May 7-11, Atlanta

    With respect to conventional RADARs for defense and civil applications,

    with large transmitted-power x antenna aperture product, the realization ofhighly-integrated RADARs with low power consumption, size, weight andcost is needed to enable its ubiquitous adoption in largevolume markets

    Transmitted Power < 10-15 dBm

    Short wavelength for miniaturization (3.9 mm@77 GHz)

    Range from < 1m to < 100-200 m

    Detection also with low SNR of 10-20 dB

    Cross section from tens of cm2to m2

    DSP techniques to improve performance and solve range-speed

    ambiguities

    Receiver sensitivity down to -100 dBm

    Multiple channels may be used for channel diversity gain

    Ubiquitous RADAR design needs

    43

    2

    )4( R

    GGPP rttr

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    12/1862012 IEEE Radar Conference, May 7-11, Atlanta

    Key enabling factor for the success of this scenario is the realization, inSi-based standard technologies, rather than using niche marketdedicated technologies, of integrated transceivers for the RF radar front-end and the implementation of computing intensive RADAR signal

    processing algorithms in cost-effective and power-efficient embeddedplatforms

    Advanced concepts for System-in-Package integration have to beexplored

    Ubiquitous RADAR design needs

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    13/1862012 IEEE Radar Conference, May 7-11, Atlanta

    At high frequencies (short wavelengthsof few mm) there is potential for high

    miniaturization, even the antennaintegration

    thanks to technology scaling Si-basedtechnologies are offering good

    characteristics at microwaves and mm-waves

    Frequency bands for highly integratedubiquitous RADAR

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    14/1862012 IEEE Radar Conference, May 7-11, Atlanta

    Opportunities at mm-waves

    Compared with visible light and infrared radar offer lower attenuation in

    bad weather and bad light conditions

    Due to high attenuation 60 GHz band (V Band) reserved for short

    communication

    At 77-81 GHz (W band) good opportunities for both LRR and SRR in mm-

    wave domain

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    15/1862012 IEEE Radar Conference, May 7-11, Atlanta

    Outline of the tutorial

    Scenarios and applications for highly-integrated

    low-power RADAR

    RADAR architecture and analog-digital

    partitioning

    RF/mm-Wave RADAR transceivers and ADCUbiquitous low-power RADAR case studies:

    vital sign detection, automotive driver assistance

    Digital signal processing for RADARHW-SW implementing platforms for RADAR

    digital signal processing

    Conclusions

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    16/1862012 IEEE Radar Conference, May 7-11, Atlanta

    Example architectures for integratedRADAR systems

    RADAR as a mixed analog-digital system

    Pulsed RADAR with homodyne receiver

    Pulsed RADAR with super-heterodyne receiverPulsed RADAR with correlator-type receiver

    From analog to digital down-conversion

    FMCW RADAR with digital down-conversionDirect digital receiver

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    17/1862012 IEEE Radar Conference, May 7-11, Atlanta

    RADAR as a mixed analog-digital signalsystem

    DIGITAL

    DOMAIN(RX signal

    processing, TXwaveform gener, LO

    synthesis, userinterface, antenna

    switch control)

    To the vehicle network

    ADC

    DAC

    ANALOGDOMAIN

    (PA, LNA, AGC,FILT, T/RSWITCH,MIXER)

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    18/1862012 IEEE Radar Conference, May 7-11, Atlanta

    Pulsed RADAR architecturewith homodyne type receiver

    Periodic transmission of a train of short pulses of peak power Pt with fpr

    (frequency pulse repetition), transmitted Pavg depends of duty cycle

    In integrated systems high peak power can be problematic limit on

    duty cycling to achieve acceptable range performance

    ToF analysis of the received echo pulses for target detection

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    19/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Pulsed RADAR architecture

    Easier on-chip integration vs. a heterodyne architecture avoidingselective passive filters at high frequencies

    Antenna array used in timed-division for TX and RX (T/R antenna

    switch needed, switch needed also for LNA protection from PA out)

    A/D and D/A converters (ADC, DAC)To reduce distortions DAC has to be of PAM type rather than PWM

    (used in MCU)

    ADC can operate at baseband (LO for I and Q down-conversion has

    the same radar central frequency) or at IFSignal processing (waveform generation, pulse compression, filtering,

    range-speed ambiguities resolutions, CFAR detection, tracking done

    in digital domain)

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    20/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Heterodyne-receiver alternative

    By sharing the conversion requirements among different blocks

    operating at different frequencies, RF, IF, baseband better performancecan be achieved

    Selective passive filters at high frequencies (e.g. for image frequency

    rejection). On-chip or in-package integrated selective filters today

    possible

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    21/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Trend from analog down-conversion

    IF or RF input at the quadrature down-conversion system depending if

    homodyne or heterodyne receiver is used

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    22/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    .. to digital down-conversion

    ADC operating at IF with digital based down-conversion (Numeric

    Controller Oscillator NCO- needed plus digital decimation)

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    23/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    FM-CW RADAR

    Quartz

    Osc

    Phase

    det.

    frefLoop

    filter

    VCO

    PA

    77 GHz

    Freq. divider

    X

    LO

    LNARF

    ampADCFFT &

    logic

    Modulation

    control (B, Tm)

    Interface

    UserI/O

    fbeat

    f

    t

    fbeat

    TOF

    f

    t

    R

    TOF=2R/c

    f

    t

    TX RX

    DSP

    Target

    Received signal at the ADC

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    24/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    FM-CW RADAR

    Separate antenna used for TX and RX due to continuous wave

    Range and relative speed detection from batiment frequency analysis

    (with FFT in digital domain)

    The FMCW sweep frequency B and the time sweep Tm determine the

    achievable range and speed resolution

    Stable frequency synthesis based on PLL+VCO in analog domain

    used in TX

    ADC can operate at baseband or at IF

    Signal processing and control (FMCW modulation control, filtering,

    FFT, range-speed ambiguities resolutions, CFAR detection, tracking

    done in digital domain)

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    25/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    UWB Pulsed RADAR with correlator-type receiver

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    26/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Receiver-type correlation

    The received pulse echo signal, amplified by the LNA is multiplied witha delayed replica of the transmitted pulses generated on-chip by a

    Shaper circuit and integrated in the analog domain (low-rate ADC

    needed) or in the digital one (high-rate ADC needed)

    The amplitude of the signal at the output of the multiplier is related to

    the target position.

    Averaging a large number of pulses allows us to increase the SNR,

    depending of integrator bandwidth and pulse repetition frequency

    )log(10intB

    fSNR PRimp

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    27/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    RADAR with direct digital receiver

    Aiming at a Software Defined Radio, in wireless communicationindustry there is lot of interest on direct digital receiver where the

    ADC is moving towards the antenna.

    The dream is that all signal processing (apart antenna impedance

    matching and first amplification) is done in the digital domain and is

    fully programmable/configurable

    Whatsfor RADAR?

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    28/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    RADAR with direct digital receiver

    At least the LNA + RF Filter before the ADC is needed for optimal

    impedance antenna matching, receiver Noise Figure, out-of-bandinterference reduction, and to adapt the weak receiver signals to the

    dynamic range of the high-speed ADC

    The mixer can be removed at UHF, L or S radar bands since Nyquist-

    rate ADC exists capable of GS/sThe limit is that high-speed low-power ADC have low dynamic range

    (typ 5-6 ENOB) posing a limit to RADAR needing wider bit range to

    face clutter

    High speed DSP needs high data transfer and storage (large memorysize) and high clock frequency thus increasing power consumption

    High speed medium/high dynamic range ADC needs high power

    consumption and hence a mixer should be reintroduced

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    29/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Main HW RADAR sub-blocks in analogdomain

    Low Noise AmplifierAntenna switch

    Power Amplifier

    Mixer

    Adaptive Gain Control (AGC) amplifier

    Phase Locked Loop (PLL), Voltage Controlled Oscillator, Quartz

    Oscillator, phase detectors, phase shifter, frequency dividers

    Baseband amplifiers and filtersIntegrators

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    30/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Main HW RADAR sub-blocks in digitaldomain

    ADCDAC

    Numerical Controller Oscillator (NCO)

    Digital delay lines (DLL), Digital clock manager (DCM)

    Fast Fourier Transformer

    Digital filters

    Direct Digital Synthesis (DDS) for waveform generation

    Other DSP blocksUser interface

    Networking interface

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    31/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Radar Architectures bibliography

    M. Skolnik, Radar Handbook, 3d Ed, McGraw Hill 2008M. Skolnik, Introduction to radar systems, McGraw Hill

    J. Hasch et al., Millimeter-Wave Technology for Automotive Radar Sensors in the 77 GHzFrequency Band, IEEE Tran. Micr Theory and Tech, 2012

    Y.-A. Li et al., A fully integrated 77 GHz FMCW radar transciever in 65 nm CMOS, technologyIEEE J. Solid-State Circuits 2010

    C. Li et al., High-Sensitivity Software-Configurable 5.8-GHz Radar Sensor Receiver Chip in0.13um CMOS for Noncontact Vital Sign Detection, IEEE Tran. Micr. Theory Tech 2010

    D. Zito et al., SoC CMOS UWB pulse radar sensor for contactless respiratory rate monitoring,IEEE Tran. Biomedical Circuits and Systems 2011

    S. Saponara, B. Neri et al, Integrated 60 GHz Antenna, LNA and Fast ADC Architecture forEmbedded Systems with Wireless Gbit Connectivity, Journal Circuit Systems Computers 2012

    B. Neri, S. Saponara, Advances in Technologies, Architectures and Applications of Highly-Integrated Low-power Radars, IEEE Aerospace Electr. Syt. Mag. 2012

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    32/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Outline of the tutorial

    Scenarios and applications for highly-integrated

    low-power RADAR

    RADAR architecture and analog-digital

    partitioning

    RF/mm-Wave RADAR transceivers and ADCUbiquitous low-power RADAR case studies:

    vital sign detection, automotive driver assistance

    Digital signal processing for RADAR

    HW-SW implementing platforms for RADAR

    digital signal processing

    Conclusions

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    33/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Integration levels and technologies forRADAR transceiver and ADC

    Radar integration levels

    System-on-board, -in-package, -on-chip

    Integrated antennaTechnologies for integrated RADAR

    III-V Transceiver

    SiGe Transceiver

    CMOS Transceiver

    ADC

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    34/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    RADAR integration levels

    Different levels of integration are possible for low-power RADARsfrom single-board to single-chip systems with increasing

    miniaturization but also increased technology complexity

    System-on-a-single-Chip (SoC) where the RADAR is

    completely contained in a single chip

    System-in-a-Package (SiP) where the RADAR is realized using

    multiple chips but embedded in a single package

    Single-board RADAR where the system is realized using

    multiple integrated circuits mounted on a single board

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    35/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Pro/Con of RADAR integration

    Pro of Highly Integrated RADAR

    Component assembly is minimized thus reducing cost and increasing

    reliability and operating lifetime

    Small size, small weight, low power consumption

    Increased reproducibility and lower cost for large volume production

    Con of Highly Integrated RADAR

    IC design has high Non Recurring Costs (CAD tools and foundry cost,

    design time and team design cost) cost is minimized only for large

    volume production

    A single technology can not offer optimal performance for all RADAR

    subsystems (CMOS optimal for baseband DSP, not for antenna design

    or RF power amplifiers or for mm-wave analog design)

    Low transmit power limits possible applications to short range ones

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    36/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    RADAR with high transmit power and large aperture antenna arerealized by assembling multiple electronic boards, each optimized

    for a radar subsystem: antenna subsystem with feed, reflectors,

    and scanning modules, TWT or Klystron as Power Amplifier

    modules, MMIC for TX/RX module, multiple boards for digitization

    and radar signal processing, User Interface and networking

    The next step, for low-power ubiquitous radar, is assembling

    all on the same single printed circuit board (PCB)

    RADAR-System-on-a-Board

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    37/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    RADAR-System-on-a-Board will assembly on the same board- a single chip of a few mm2 integrating the whole TX and RX chains

    operating in the RF or mm-wave domain (CMOS or SiGe or MMIC in

    III-V technologies)

    - Solid-state power amplifier (depending on the transmit power needed)- a single chip for baseband digital signal processing (DSP, FPGA or

    custom IC in CMOS tech.): waveform generation, matched-filtered,

    pulse compression, range/speed ambiguities resolution, CFAR

    - Memory modules (RAM and NV)- ADC/DAC module (if not integrated in the custom IC, CMOS tech.)

    - antenna (printed on the PCB board if gain, beam-width are enough..)

    RADAR-System-on-a-Board

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    38/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Thanks to submicron technology scaling CMOS is providing goodperformance also for RF and mm-wave low-power transceivers

    The trend for the future is further increasing the miniaturization level

    by integrating in a single-chip the radar transceiver plus the A/D and

    D/A converters and part of the DSP chain, such as an FFT processorCMOS SOI offers further improved performance at high frequencies

    and for the realization also of passive components (inductors,

    capacitors, even V/W bands antennas if few dB gain are enough)

    Only the power amplifier and the antenna will be off-chip

    RADAR-System-on-Chip or in-Package

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    39/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    RADAR-System-on-a-Chip or in-PackageUnless very low power and low antenna gain are required SiP is a more

    viable solution for RADAR than fully SoC

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    40/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    System-in-Package Technology Options

    - Different System-in-Package technology option available or under

    research for mm-wave low-power radar or radio applications:

    - Integrated substrate and/or Multi Chip Module (MCM), even 3D

    Huei Wang , IEEE SIRF 2010

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    41/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Integrated antennas

    Towards high miniaturized systems the trend is integrating the antenna

    - at board level (printed antenna on PCB boards)

    - at package level (e.g. using Low Temperature Co-fired Ceramic LTCC

    technology to realize multi-layer circuits with integrated passive

    components including antenna)

    - at chip level using MMIC or Silicon on Insulator technologies

    The higher the frequency, the lower the wavelength (e.g for 77 GHz

    radar or 60 GHz radio is few mm) and hence realizing an integrated

    antenna becomes feasible

    However lot of works still to do to achieve the high antenna gain

    required by RADAR systems

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    42/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Example of a single-package chip andintegrated antenna

    mm-Wave transceiver chip

    with double-slot antenna

    Lots of on-chip antenna designs at 60 GHz for short-range consumer radio (theantenna performance are less stringent than for typical RADAR systems)

    Huei Wang , IEEE SIRF 2010

    V-band transmitter and receiver with on-chip

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    43/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    V-band transmitter and receiver with on-chip(MMIC) integrated antennas

    Huei Wang , IEEE Microwave Mag. 2009

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    44/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    RADAR antennas are typically realized off-chip. Long-range Radarautomotive applications (100m-200m) require antennas with high gain

    and high directivity which can not be realized on-chip (e.g. up to 20-25

    dB in literature with a patch or horn or dish antenna)

    For RADARs operating at frequencies below 10 GHz, the wavelengthamounts to several cm and hence it is not convenient to integrate the

    antenna due to the high silicon area occupied

    Single-chip antennas integrated on MMIC or SOI technology recently

    proposed in literature for 60 GHz and 77 GHz (few dB gain)

    useful only for short-range applications and/or using special dielectric

    lens antenna or smart resonator to improve the characteristics

    Integrated antenna for RADAR systems

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    45/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Integrated antenna for RADAR systems

    FMCW RADARs use separate TX and RX antennasPulsed RADARs can use the same antenna in time-division for TX/RX

    By using an antenna array, a RADAR scanning effect can be obtained,

    by realizing beam-forming in the analog domain (phase shifters) or in

    the digital domain (digital beam-forming)Unlike beam-forming, which presumes a high correlation between

    signals either transmitted or received by an array, the Multiple-Input

    Multiple-Output (MIMO) concept exploits the independence between

    signals at the array elements to improve radar detection performanceIn conventional single-antenna RADAR target scattering is regarded as

    a parameter that degrades performance while MIMO RADAR takes the

    opposite view capitalizing target scattering to improve performance

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    46/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    LTCC-integrated antenna example

    LTCC-integrated example of CW-radar antenna +transceiver for near-field highaccuracy measures in industrial scenarios (C. Rusch et al., IEEE EuCAP11)

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    47/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Example of PCB-integrated antenna for77 GHz automotive RADAR

    Based on the FMCW principle, 4 77

    GHz single microstrip patch antennas

    combined with parasitic elements to

    adjust bandwidth and beam-widthAntenna elements tilted by 45deg to

    reduce interference from coming cars

    The antenna elements serve as feeds

    for a further dielectric lens resulting infour narrow beams

    RADAR sensor size of 7.4 x 7 x 5.8 cm3 (J. Hasch et al., IEEE Tran. Micr Theory Tech, 2012)

    E l hi i t t d t f

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    48/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Example on-chip integrated antenna for77 GHz automotive RADAR

    On-chip antenna elements based on shorted /4 microstrip lines,formed by the top and bottom metal layers of the chip backend

    Most of the radiation dissipated due to conductor and dielectric losses,

    resulting in a low antenna efficiency (

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    49/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Performance of mm-Wave on-chipintegrated antenna

    C. Person,IEEE BCTM 2010

    Antenna type

    F

    (GHz) Tech Gain

    BW

    (GHz) Feeder Imped.

    4 array Dipole 77 SiGe 2 2 Differential 45

    Slot Dipole 24 GaAs 2 1.4 CPW 50

    Zig zag 24 CMOS 1.5 N/A N/A 30

    Aperture

    Coupled Patch60 CMOS 7 7.8 Balanced 100

    Dipole 60 SiGe 2.35 7 CPS 30

    Slot Antenna 60 CMOS 10 5 N/A N/A

    Cavity backed

    folded dipole60 SiGe 7 18 CPS 50

    Folded Dipole 60 SiGe 8 8 CPW 100

    Yagi 60 SiGe 7 9.4 N/A 50

    Spiral 60CMOS

    SOI4.2 15 CPW 50

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    50/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Semiconductor material properties

    III-V high mobility devices (GaAs, InP, ) suited for high performance

    at high frequencies (electrons rather hole carrier-based devices)

    Devices (es. GaN) with wide energy-bandgap and breakdown voltage

    suited for high voltage high power (e.g. vacuum tube replacement in

    high transmitter power radar)

    Si devices suited for large volume low-cost since dominate basebandanalog&digital processing for TLC, Computers, Consumer Electronics

    FET HBT b i

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    51/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    FET vs. HBT basics

    FET (Field Effect transistors) as MOS (Metal Oxide Semiconductor) or

    HEMT (High Electron Mobility transistor) are unipolar devices (single-carrier: electrons in HEMT and NMOS, holes in PMOS) while HBT is bipolar

    (holes and electrons)

    HBT and FET can act as digital (on/ff) or analog devices (current generator

    controlled by an input current HBT or an input voltage FET)HBT has an exponential drive characteristics while for FET is quadratic

    HBT has higher transconductance (gain)

    HBT has a resistive input impedance while for FET is capacitive FET has

    lower power consumption in standby modeFET has lower noise at high freq (but it suffers of 1/f noise at low freq)

    HBT are vertical integrated devices (high current density) while low-power

    FET are planar devices (higher integration density and easier scaling)

    Semiconductor technologies for RADAR

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    52/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Semiconductor technologies for RADARtransceivers and ADC

    In highly integrated low-power radar vacuum-tube technologies

    (Traveling Wave Tube, klystron, or magnetron,..) adopted for high power

    high performance RADAR transmitter are completely avoided

    Competing technologies are only solid state ones:- Monolithic microwave integrated circuits (MMIC) in compound III-V

    semiconductors such as GaAs, In-P using High Electron Mobility FET

    Transistors (HEMT)

    - For Power amplifier interest on GaNand SiCis increasing- SiGe HBT (hetero-junction bipolar transistor) or BiCMOS (bipolar-

    MOS) IC

    - Si CMOS (N- and P- MOS) technologies IC

    - CMOS SOI (Silicon on Insulator)IC

    C i h l i

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    53/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Competing technologies

    C ti t h l i

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    54/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    FTGain/NF

    ratioCost

    Power

    ConsumptionSuited for

    BJT High High Medium High Analog, RF

    CMOS Medium Medium Low Low Digital

    BiCMOS High High Medium Medium Analog, RF,mixed-signal

    HEMT Very High High High Medium mm-wave

    Competing technologies

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    55/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    State of the art and trends in RADAR design

    III-V MMIC (Monolithic Microwave Integrated Circuit) for radio/RADAR at

    high frequencies developed since 70s-80s (dedicated US funding

    programs for MMIC tech)

    MMIC are now a mature technology, offering for analog circuitry (active

    and passive components) at microwaves and mm-Waves best in class

    performances (max Ft, NF and gain of LNA, gain and Psat of the PA)

    MMIC dominates high-end transceivers from tens of GHz to THz

    Most of MMIC are in GaAs technology (automotive RADAR front-end at

    77/79 GHz, 60 GHz applications, 94 GHz imaging, Ka-, V-, W-Radar)

    100-nm HEMT GaAs and 500-nm InP HBT tech. available

    III-V MMIC

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    56/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    State of the art and trends in RADAR design

    Limits of poor digital and mixed-signal integration capability not

    suited for low-cost, large volume, digital-based applications

    Since 2005 III-V HEMT devices with Ft (the frequency at which the short-

    circuit current gain is 1) of 700 GHz are available

    Today we are in the THz domain

    However due to niche market applications, and higher device size, the

    cost of ICs with III-V technologies is higher than that of silicon

    technologies. While such cost is affordable in military or space

    applications, for low-cost low-power civil radar applications silicon

    technologies must be used

    III-V MMIC

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    57/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    State of the art and trends in RADAR design

    Si-based technology dominate electronic industry for baseband signalprocessing and IF (BB and IF circuitry integrated in the same SoC)

    Since 2000 Si-based technologies (SiGe bipolar or CMOS) used for

    telecom RF IC (cellular phone transceivers, WLAN, Bluetooth, UHF

    wireless sensors)Recent technology scaling proves the potential of CMOS, CMOS SOI or

    BICMOS also for mm-Waves. Si-based mm-wave SoC developed in

    recent years with commercial technologies for automotive RADAR (24

    GHz and now 77/79 GHz) or TLC radio (60-GHz short-range)

    Technologies: SiGe BiCMOS 130 nm, 180 nm; CMOS/CMOS SOI 130

    nm, 90 nm, 65 nm, 45 nm, 32 nm, 28 nm; FDSOI at 28 nm and smaller

    SiGe BiCMOS 130 nm, 180 nm or CMOS 90 nm, 65 nm used for RADAR

    Si-based IC

    MOSFET HBT d BiCMOS f RADAR d i

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    58/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    In RADAR design HBT are more suited for high-frequency analog circuitry

    ensuring higher gain and cut-off frequency, lower Noise Figure (NF)

    MOSFET are more suited for the base-band DSP due to lower power

    consumption, easier device scaling, higher integration levels, lower cost

    SiGe BiCMOS (Bipolar Complementary MOS) allows the co-integration of

    BJT for high-frequency applications and MOS devices for digital circuitsalthough at higher cost

    At the state of the art the SiGe BiCMOS technology, with 130 nm

    transistors channel length and an Ft of 230 GHz, offers a good trade-off

    between cost and performance for single-chip mm-wave RADARtransceivers. Several transceivers at 24, 77, 90, 120 GHz have been

    proposed in literature using SiGe BiCMOS technology

    MOSFET, HBT and BiCMOS for RADAR design

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    59/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    MOS technology dominates DSP

    MOS h l d i

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    60/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    MOS technology dominates memory

    Thanks to technology scaling

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    61/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Thanks to technology scalingCMOS becomes suitable also for mm-waves

    For future, for large volume applications (60 GHz radio, RADAR?) the

    trend will be using CMOS also for mm-wave circuits. As an effect of devicescaling a Ft higher than 150 GHz can be obtained

    Realizing a mm-wave transceiver in scaled CMOS technology, as baseband

    DSP, entails a lower area, higher integration and lower cost for large

    volume markets but also lower performance vs. 130nm BiCMOS SiGe tech

    Huei Wang , IEEE SIRF 2010

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    62/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Technology benchmark - oscillator

    Oscillator phase noise (at 1 MHz from the carrier) vs. operating frequency

    in mm-wave bands in various technologies

    CMOS has comparable performance up to 70 GHz

    A. Scavennec et al.,IEEE Microwave Mag. 2009

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    63/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Technology benchmark - oscillator

    Oscillator output power (0 dBm=1 mW) vs. operating frequency in mm-

    wave bands in various technologies

    III-V devices have best in class performance, up to several hundreds of

    GHz, CMOS realizable within 100 GHz but at lower performance

    A. Scavennec et al.,IEEE Microwave Mag. 2009

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    64/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Technology benchmark Power amplifier

    On-chip mm-wave Power Amplifier is a big issue in CMOS

    technology considering that from RADAR equation the range

    capability heavily depends on transmitted power levels

    A. Scavennec et al.,IEEE Microwave Mag. 2009

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    65/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Summary of GaAs vs. Si-based transceivers

    i f diff d

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    66/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    SiGe processes from different vendors

    Most offers bipolars and FET and passive components

    (J. Hasch et al., IEEE

    Tran. Micr Theory Tech, 2012)

    i

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    67/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    SiGe Ft vs. Ic

    Technology evolution allows for higher ft at a given current or the same ftfor lower current: this reduces power consumption, power supply andthermal issues reducing size and cost and increasing reliability in harshenvironments

    (J. Hasch et al., IEEETran. Micr Theory Tech, 2012)

    SiG P A lifi bili

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    68/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    SiGe Power Amplifier capability

    SiGe vs. CMOS vs. III-V technologies

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    69/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    SiGe vs. CMOS vs. III V technologiesLNA Ft and NF

    For applications at mm-Wave bands lower NF expected for SiGe and CMOShence the same performance available with lower transmit power and hencesmall size and lower costs

    Source: International technology Roadmap for semiconductors (ITRS)

    CMOS bilit LNA (G i )

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    70/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    CMOS capability- LNA (Gain)

    State-of-art designs up to 10-20 GHz in CMOS technology have good

    performances: gain higher than 20 dB (L, S, C, X, Ku bands)At higher frequencies the performances start decreasing.Around 77 GHz (W-band) acceptable but non optimal performance areachieved today (gain lower than 20 dB)

    10

    15

    20

    25

    1 10 100F (GHz)

    Gain,dB-CMOSLN

    A

    CMOS bilit LNA (NF)

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    71/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    CMOS capability- LNA (NF)

    State-of-art designs up to 10-20 GHz (L, S, C, X, Ku bands) in CMOS

    technology have optimal performances: NF lower than 4 dBAt higher frequencies the performances start decreasingAround 77 GHz (W band) acceptable but non optimal performance areachieved today (NF higher than 4 dB)

    0

    2

    4

    6

    8

    10

    1 10 100F (GHz)

    NF,dB-CMOSLN

    A

    CMOS bilit PA

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    72/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    CMOS capability- PA

    In L and S band an integrated PA up to 1 W peak power is possibleThe peak power of integrated PA decreases with frequencyAt high frequency (77 GHz or higher, W band ) the peak power is < 10 dBm (10 mW)Only short range applications are possible with high duty cycle or external off-chipPA are needed

    0

    510

    15

    20

    25

    30

    35

    1 10 100 1000F (GHz)

    PoutTX,dBm-

    CMOSP

    A

    I i Si b d t i

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    73/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Issues in Si-based transceivers

    Another issue in Si-based transceivers is the design of low-losses passive

    Components such as Coplanar stripline or waveguides (CPS/CPW)

    At mm-Wave frequencies due to very short wavelength the antenna

    integration is possible but low efficiency and low gain are main concerns

    Solutionmigration to SOI technologies

    CPW CPS d A t I CMOS SOI

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    74/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    CPW, CPS and Antenna In CMOS SOI

    In SOI technology the high resistivity of the substrate on which n- and

    p-MOSFET are created allows dielectric isolation of circuit elements

    Junction capacitances are reduced increasing maximum operating freq

    Noise coupling between digital and analog parts integrated in the

    same chip is reduced

    The performances of CPS, CPW or antennas in SOI CMOS are improved

    due to a reduced amount of energy loss in the supporting substrate

    I t t d t i CMOS SOI

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    75/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Incidence of substrate resistivity on achievable radiation efficiency and gain

    (bulk 20 /cm, SOI > 1000 /cm)

    Integrated antenna in CMOS SOI

    F. Gianesello,IEEE SOI 2010

    Q lit f t f i d t i CMOS SOI

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    76/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Quality factors of inductance in CMOS SOI

    F. Gianesello, IEEE SOI 2010

    CMOS SOI useful also for high speed digital

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    77/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    g p gapplications vs. bulk CMOS

    P. Simonen, IEEE IMC 2001

    Antenna in CMOS SOI

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    78/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Single-chip integrated antennas on 65 nm CMOS SOI recently proposed inliterature: a double-slot antenna with CPW feed, tunable to operate indifferent mm-wave frequencies has been proposed with a gain of 4.4 dB (at60 GHz) and an area occupation of 1 mm2

    Antenna in CMOS SOI

    Antenna in CMOS SOI

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    79/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Antenna in CMOS SOI

    ADC main cost figures

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    80/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    ADC main cost figures

    The ADC is gaining a key rile in radar system due to trend of digitization

    of the signal processing functionalities

    Main cost figures of interest are:

    Bits (Effective number of bits ENOB- rather than nominal bits)

    Sampling frequency, Number of channels

    Integral and differential non Linearity (INL, DNL)

    Signal-to-Noise and Distortion Ratio (SNDR)

    Spurious Free Dynamic Range (SFDR)Aperture uncertainty

    Area, power consumption

    ADC RADAR requirements

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    81/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    ADC RADAR requirements

    RADAR architectures may require ADC operating at intermediate

    frequency and not only at base band frequency hence sampling rates upto hundreds of MS/s can be required in highly digitized radar (in

    ubiquitous low-power applications the rate several tens of MS/s)

    The number of ADC channels depends of the radar architecture (1 or 2

    for I-Q for each of the K radar channels, e.g. 4 in last LRR automotive

    Bosch radar)

    The bit resolution is typically higher than 10 b, eg. a nominal 14b -16 b

    required for 12 b-14 b ENOB (at least 70 dB dynamic range)

    Specs on non linearity and aperture uncertainty ta depends, together

    with ENOB bits N, also on the target SNR level

    Comparing performance of different

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    82/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    architecture types

    L . Bin et al., IEEE Signal Proc. Mag., 2005

    Absolute data not updated (see

    next slide) but useful relative

    architecture comparison

    ADC Performance available (2011)

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    83/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    ADC Performance available (2011)

    M. Mishali et al. IEEE Signal Proc. Mag. 2011

    ADC Conclusions

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    84/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    ADC Conclusions

    ADC sampling at several GS/s available but too-high power

    consumption per channel and too low bit resolution for typical radar

    dynamic range and SNR requirements Mixer is needed, full-

    digital radar is not convenient

    IF ADC can reach the required bit resolution and sampling rate with

    good power performance (e.g. 100 MS/s, 16-b nominal at least 14ENOB) with power consumption within hundreds of mW

    Pipelines or time-interleaved SAR can be suited architectures

    Figure of Merit (FoM) in scaled CMOS technologies can be as low as

    fJ to pJ per conversion-step

    RADAR Technologies Recent bibliography

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    85/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    RADAR Technologies Recent bibliographyJ. Hasch et al., Millimeter-Wave Technology for Automotive Radar Sensors in the 77 GHzFrequency Band, IEEE Tran. Micr. Theory and Tech, 2012

    T. Mitomo et al., A 77 GHz 90 nm CMOS transceiver for FMCW radar applications, IEEE J. Solid-State Circuits 2010

    Y.-A. Li et al., A fully integrated 77 GHz FMCW radar transciever in 65 nm CMOS, technology,IEEE J. Solid-State Circuits 2010

    W. Menxel et al., Antenna Concepts for Millimeter-Wave Automotive Radar Sensors,Proceedings of the IEEE, 2012

    V. Giammello et al., A Transformer-Coupling Current-Reuse SiGe HBT Power Amplifier for 77-GHz Automotive Radar, accepted on IEEE Tran. Micr. Theory and Tech.

    B. Neri, S. Saponara, Advances in Technologies, Architectures and Applications of Highly-Integrated Low-power Radars, IEEE Aerospace Electr. Syt. Mag. 2012

    B. Brannon et al., Analog devices AN-501,Aperture Uncertainty and ADC System Performance

    M. Mishali et al., Sub-nyquist sampling, IEEE Signal Proc. Mag. 2011

    L . Bin, et al., Analog-to-digital converters, IEEE Signal Proc. Mag., 2005P. Harpe et al., A 7-to-10b 0-to-4MS/s Flexible SAR ADC with 6.5-to-16fJ/conversion-step, IEEEISSCC 2012

    Bei Yu et al, A 14-bit 200-MS/s Time-Interleaved ADC with Sample-Time Error Detection andCancelation, IEEE ASSCC 2011

    Y. M. Greshishchev, A 40GS/s 6b ADC in 65nm CMOS, IEEE ISSCC 2010

    RADAR Technologies Recent bibliography

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    86/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    RADAR Technologies Recent bibliographyD. Liu et al., Integration of Array Antennas in Chip Package for 60-GHz Radios, Proceedings ofIEEE 2012

    F. Gianesello, SOI CMOS Technology for Wireless Applications: Current Trends and Perspectives,IEEE Int. SOI conf. 2010

    C. Person, Antennas on Silicon for millimeter-wave applications status and trends, IEEE BCTM2010

    A. Scavennec et al., Semiconductor technologies for higher frequencies, IEEE Microwave

    Mag. 2009

    Huei Wang, Current status and future trends for Si and Compound MMICs in millimeter waveregime and related issues for System on Chip (SoC) and/or System in Package (SiP), IEEE SIRF2010

    S. Saponara , B. Neri et al, Feasibility study and on-chip antenna for fully integrated RFID

    tag at 60 GHz in 65 nm CMOS SOI, IEEE RFID-TA 2011

    S. Saponara, B. Neri et al, Integrated 60 GHz Antenna, LNA and Fast ADC Architecture for

    Embedded Systems with Wireless Gbit Connectivity, Journal Circuit Systems Computers 2012Huei Wang et al., MMICs in the millimiter-wave regime, IEEE Microwave Mag. 2009

    P. Simonen, Comparison of bulk and SOI CMOS technologies in a DSP processor circuitimplementation, IEEE ICM 2001

    C. Rusch et al., W-Band Vivaldi Antenna in LTCC for CW Radar Near field Distance Measurements,IEEE EuCAP 2011

    Outline of the tutorial

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    87/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Outline of the tutorial

    Scenarios and applications for highly-integrated

    low-power RADARRADAR architecture and analog-digital

    partitioning

    RF/mm-Wave RADAR transceivers and ADCUbiquitous low-power RADAR case studies:

    vital sign detection, automotive driver assistance

    Digital signal processing for RADAR

    HW-SW implementing platforms for RADAR

    digital signal processing

    Conclusions

    Ubiquitous low-power RADAR

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    88/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    q parchitecture case studies

    Why a RADAR as contactless health sensor?

    UWB pulsed bio RADAR

    Doppler bio RADAR

    Why a RADAR for automotive driver assistance?Standards, frequency and technology selection

    Automotive RADAR spec and commercial devices

    FMCW RADAR architectureSiGe automotive RADAR

    CMOS automotive RADAR

    Needs for health monitoring

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    89/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Needs for health monitoring

    Due to aging population and needs of national health system cost

    reduction there is high interest in monitoring electronic health devices,

    specially for heart or respiratory pathologies (CHF, BPCO)

    A low-cost RADAR can be used as contactless sensor for monitoring

    heart rate or breath rate in patient with cardiopulmonary illness or to

    monitors babies while sleeping against sudden infant death syndrome

    Acquired radar data are then processed by an home gateway an send

    to Hospital Information Server

    Why RADAR for vital signs sensing

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    90/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Why RADAR for vital signs sensing

    A RADAR can sense the mechanical activity of heart of chest instead

    of the electrical one and from that the heart or breath rate can be

    detected and estimated

    The radar bio sensor can ensure continuous home monitoringavoiding wires, gels, LOS requirement, electrodes of conventional

    solutions based on SpO2 measures and multi-lead ECG acquisition

    (prone to electrode error positioning when done outside hospital )

    Sensor radar requirements are low-power and high miniaturization

    for portability/wearability, short-range, low cost for large volume

    marketCMOS silicon integrated approach should be followed

    No ionizing effect with low-power pulse RADAR

    Which RADAR architecture?

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    91/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Recent proposals based on Ultra Wide Band pulsed RADAR (within3-10 GHz range) for very low power and low complex short-range

    (tens of cm) contactless vital sign detection

    Correlator-type receiver (Zito, De Rossi, Neri, architecture 2007-

    2010, implementation in 90 nm CMOS 2011-2012), (Ta-Shun Chu etal., 130 nm CMOS implementation in 2011)

    Doppler pulse radar based on transmission of un-modulated pulse

    train and the analysis of the received echo phase modulated by the

    chest/heart movement (Dracourt 2004, several works by J. Li, J. Lin

    et al.). Various designs at 450 MHz, 1.6 GHz, 2.4 GHz, 5.8 GHz in

    various technologies (250 nm CMOS and BiCMOS, 130 nm CMOS,..)

    Which RADAR architecture?

    UWB pulse RADAR

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    92/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    UWB pulse RADAR

    Very low power spectral density (-41.3 dBm/MHz from 3.1 to 10 GHz,

    14 bands each of 500 MHz) ETSI/FCC regulation

    Robust against interference

    No ionization effect

    Transciever activated only when needed (low power consumption due

    to low duty cycling)

    UWB pulse RADAR

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    93/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    p

    Due to low power the RADAR is limited to detection of heart/breath

    rate of few Hz, at distances of tens of cmSingle TX/RX antenna multiplexed in time

    Transmitter: pulse generator in the TX path transmits short pulses,

    typically 200-400 ps, towards the human body with a pulse repetition

    frequency (fPR) > 1 MHz so that the heart can be considered motion-less between consecutive pulses. The energy level of each pulse

    amounts to few pJ

    Zito et al., IEEE TBCS, 2011

    UWB pulsed RADAR

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    94/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    p

    Low-complex cross correlation receiver architecture (differential path to

    improve EMI robustness)

    The output signal is modulated by the heart movement, acting as a target

    with a cross sections of tens of cm2

    After a TOF dependant on the target distance (e.g. few ns for 15-30 cm

    distance) the signals reflected by the target is captured by the RX antenna

    Radar working in ranging mode (delay varied to span the range of interest

    and identify the target) or tracking mode (once detected, the delay is fixed

    for target tracking

    Vo (t) at multiplier output

    Vout (t) at integrator output

    Receiver-type correlation

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    95/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    yp

    The signal amplified by the LNA is multiplied with a delayed replica of

    the transmitted pulses generated on-chip by a Shaper circuit

    The amplitude of the signal at the output of the multiplier is related to

    the heart position. Since vital signs vary within a few Hertz, an

    integrator 3-dB band (Bint) of 100Hz allows an accurate detection.

    Averaging a large number of pulses allows us to increase the SNR (e.g.

    the SNR improvement is 40 dB with Bint=100 Hz and fPR=1 MHz)

    )log(10intB

    fSNR PRimp

    Flicker noise issue at receiver

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    96/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    At the low frequency (DC-100 Hz) of the baseband bio-signal the MOS

    transistors used for RADAR-on-chip integration suffer 1/f flicker noise,much higher than thermal noise (KTB term)

    The total NF of a multi-stage RX path is

    To have NFtot~NFLNAa gain of at least 20 dB is required for the LNA stageprovided that NF2

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    97/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Pulse generator based on triangular pulse generation and shaping networks:two triangular pulsed (delayed by a pulse periods) generated and shaped bya CMOS differential pair

    Performance of state of art UWB radars

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    98/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    fPR in the range 1-10 MHz, pulses of 300-400 ps and 7-8 pJ energy

    The baseband digital processing can be realized with a simple MCU

    since low-speed ADC is required (12bit ADC in ISSCC11), low data rate

    serial connection, only control tasks to be implemented

    Whole chip by Zito et al. in 90 nm CMOS has

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    99/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    pp

    In DR for noncontact vital sign detection an un-modulated pulse

    signal is transmitted toward the human body, where it is phase

    modulated by the physiological movement, then reflected and

    captured by the radar receiver (h and r are heart and respiratory

    rate respectively)

    C. Li et al., IEEE TIM 2010

    Doppler Bio RADAR

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    100/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    pp

    Using the same un-modulated TX signal as the RX LO signal, the

    receiver down-converts the received signal into baseband with no

    frequency offset. Here it is digitized and the physiological movement

    (i.e., heartbeat and respiration) can be identified by DSP (FFT or

    wavelet proposed in literature)

    C. Li et al., IEEE Tran. Micr. Theory Tech. 2010

    Doppler Bio RADAR

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    101/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    pp

    A pulse doppler RADAR with 5.8 GHz central frequency and 1 GHz

    bandwidth has been realized in 130 nm CMOS technology powered

    by 1.5V batteries and using direct conversion quadrature architecture

    The LNA has 2.56 dB NF and 24 dB gain

    The system is sized to ensure 10-20 dB SNR, using a baseband

    sampling rate of 20 Hz (ADC is sized for 1 kHz)

    The whole RX chain has min 37 dB gain, -32 dBm P1dB and a

    sensitivity of -101 dBm

    Off-chip 2x2 patch antennas (separate for TX and RX) were used

    With 7 dBm output power detection up to 3 m can be done

    The received power is from -37 dBm to -61 dBm when the target

    distance increases from 50 cm to 2 m

    Vital Signs Detection RADAR Bibliography

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    102/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    D. Dracourt et al., Range Correlation and I/Q Performance Benefits in Single-Chip Silicon DopplerRadars for Noncontact Cardiopulmonary Monitoring, IEEE Tran. Micr. Theory Tech 2004

    D. Zito et al., SoC CMOS UWB pulse radar sensor for contactless respiratory rate monitoring, IEEE

    Tran. Biomedical Circuits and Systems 2011C. Li et al., Accurate doppler radar noncontact vital sign detection using the RELAX algorithm, IEEETran. Instr. and Measur. 2010

    C. Li et al., Radar Remote Monitoring of Vital Signs, IEEE Microwave Mag. 2009

    D. Zito et al., A 90nm CMOS SoC UWB pulse radar for respiratory rate monitoring, IEEE ISSCC11

    Ta Shun Chu et al., A short-range UWB impulse-radio CMOS sensor for human feature detection,

    IEEE ISSCC11G. Reyes et al., VitalTrack: a doppler radar sensor platform for monitoring activity levels, IEEEBioWireleSS 2012

    M. Mercuri et al, SFCW microwave radar for in-door fall detection, IEEE BioWireleSS 2012

    Tariq et al., Vital signs detection using Doppler radar and continuous wavelet transform, IEEEEuCAP 2011

    A. Lazaro et al., Vital signs monitoring using Impulse Based UWB Signal, IEEE EuMA 2011

    G. Blumrosen et al., Noncontact tremor characterization using low-power wideband radartechnology, IEEE Tran. Biomedical Eng. 20120

    C. Li et al., High-Sensitivity Software-Configurable 5.8-GHz Radar Sensor Receiver Chip in 0.13umCMOS for Noncontact Vital Sign Detection, IEEE Tran. Micr. Theory Tech 2010

    C. GU et al., Instrument-based noncontact doppler radar vital sign detection system using

    heterodyne digital quadrature demodulation architecture, IEEE Tran. Instr. and Measur. 2010

    Automotive RADAR Why?

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    103/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    y

    Automotive RADARs as core sensor (range, speed) of driver assistance

    systems: long range (LRR) for Adaptive Cruise Control, medium range(MRR) for cross traffic alert and lane change assist, short-range (SRR)

    for parking aid, obstacle/pedestrian detection

    W.r.t. to other sensing technology RADAR is robust in harsh

    environments (bad light, bad weather, extreme temperatures)Multiple RADAR channels required for additional angular information

    Data fusion in the digital domain with other on-board sensors

    Automotive RADAR a bit of Story

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    104/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    First tentative for mm-wave automotive RADAR since 70s (but

    integrated-unfriendly technologies lead to large size, high cost)Since 1998-1999 first generation of radar sensors (Daimler, Toyota)

    Since 2000 MMIC GaAs-based radar in premium cars

    Last generation based on 180/130 nm SiGe chipset and advanced

    packaging with integrated antenna commercially available (e.g. Bosch)Radar CMOS transceivers recent announced in 65 nm and 90nm

    High RADAR frequency (small ) allows small size and weight, highly

    integration with SiGe and future CMOS tech. will reduce assembly and

    testing costs and hence final user cost much below US$1000Market expanding at 40%/year and is expected increasing with all

    premium/middle cars having a RADAR in next years (7% of all vehicles

    sold world-wide, mainly in Europe, Japan and US, will have RADARs)

    Automotive RADAR Regulation

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    105/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    24 GHz and 77 GHz are the dominant bands for automotive

    77-81 GHz is promising since offers 4 GHz bandwidth

    Automotive RADAR 24 vs. 77 GHz

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    106/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    77 GHz is more challenging for designers: given the same technology

    node performance (gain, NF, ..) at 24 GHz are better but 77 GHz RADARreduce size, volume, weight and hence cost

    77 GHz offers more opportunity for high performance RADAR design:

    is 3 times smaller (few mm) smaller antenna size for a given beam-

    width spec and/or better angular separability for the same sizeCombination of high transmit power and high bandwidth available at 77

    GHz for long range operation and fine distance separability

    Due to SiGe and CMOS technologies evolution 77 GHz is affordable

    77-81 GHz (under regulation worldwide) offers 4 GHz Bandwidth withEIRP max PSD of - 3dBm/MHz (-9 dBm/MHz outside the vehicle)

    Automotive RADAR Technical spec

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    107/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    (J. Hasch et al., IEEETran. Micr Theory Tech, 2012)

    Automotive commercial RADARs

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    108/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Product size is in the order of 7 cm per side in LRR, 5 cm per side or lower

    in MRR and SRR

    Products exist with mechanical (slowly, increased size) or electronic beamforming (increased electronic complexity affordable in new tech nodes)

    Multi channel transceivers required

    All use FMCW RADAR technique

    (J. Hasch et al., IEEETran. Micr Theory Tech, 2012)

    FMCW Automotive RADAR principle

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    109/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Received signal at the ADC

    Quartz

    Osc

    Phase

    det.

    fref Loopfilter

    VCO

    PA

    77 GHz

    Freq. divider

    X

    LO

    LNARF

    ampADCFFT &

    logic

    Modulation

    control (B, Tm)

    Interface

    UserI/O

    fbeat

    f

    t

    fbeat TOF

    f

    t

    R

    TOF=2R/c

    f

    t

    TX RX

    DSP

    Target

    FMCW Automotive RADAR equations

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    110/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    43

    2

    )4( R

    GGPP rttr

    FFT

    r

    BWNFTK

    PSNR

    r

    dvf 2

    2)(

    2

    ffB

    cTR cpi

    2

    )(

    2

    fff

    cvc

    R

    Receiver power and SNR (min 10 dB required)

    Range and relative speed detection from batiment frequency analysis

    (with FFT in digital domain)

    The FMCW sweep frequency B and the time sweep determine the

    achievable range resolution and speed resolution

    Automotive RADAR Technology Selection

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    111/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Automotive RADAR based on GaAs MMIC in production, multiple chips

    and boards needed thus increasing assembly and testing costLast generation based on SiGe chipset (HBT or BiCMOS): less ICs thus

    reducing cost, already mature technology for 77 GHz, 2 boards (1 for

    radar transciever and 1 for radar baseband processing)

    W.r.t CMOS SiGe today offers higher fmax, up to 200-300 GHz (at least20% reduction is expected at extreme temperature), higher output

    power (Psat of 15-16 dBm available) and is mature for mass production

    CMOS transceivers in 90 nm and 65 nm for 77 GHz already published,

    some improvements needed for automotive mass production but has

    higher integrating potential (transceivers and baseband DSP)

    Today SiGe-based RADAR, tomorrow CMOS-based expected given

    technology evolution and trend towards more digital RADAR

    Automotive RADAR with SiGe mm-Wave T/R

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    112/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Commercially available from Bosch

    based on SiGe Infineon Chipset

    2 PCB boards

    FCMW modulation

    LRR 7dBm Pout, 4 channels (2 TX/RX,

    2 RX only), dielectric lens antenna

    provides high gain for Rmax 250m

    Alternative versions with PCB or on-

    chip Integrated antennas

    B. Fleming, IEEE Vehicular Tech. Mag. 2012

    Block diagrams of automotive RADAR withSiGe mm-Wave T/R

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    113/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    SiGe mm Wave T/R

    (J. Hasch et al., IEEETran. Micr Theory Tech, 2012)

    Performance of transceivers in CMOStechnology

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    114/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    JSSC2010 JSSC2010 IEEEAESM 2012

    Technology65 nm

    CMOS

    90nm

    CMOS

    guidelines

    Power

    consumption243 mW 517 mw N/A

    Area 1 mm2 6.8 mm2 N/A

    Carrier frequency 77 GHz 77 GHz 77 GHz

    Resolution N/A 100m with off-chip 24

    dB antenna,

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    115/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    J. Hasch et al., Millimeter-Wave Technology for Automotive Radar Sensors in the 77 GHz

    Frequency Band, IEEE Tran. Micr Theory and Tech, 2012T. Mitomo et al., A 77 GHz 90 nm CMOS transceiver for FMCW radar applications, IEEE J. Solid-State Circuits 2010

    Y. Kawano et al., A 77 GHz transceiver in 90 nm CMOS,IEEE ISSCC 2009

    Y.-A. Li et al., A fully integrated 77 GHz FMCW radar transciever in 65 nm CMOS, technology,IEEE J. Solid-State Circuits 2010

    R. Stevenson, A driverssixth sense, IEEE Spectrum 2011S. Trotta et al., An RCP Packaged Transceiver Chipset fo Automotive LRR and SRR Systems inSiGe BiCMOS Technology, IEEE Tran. Micr- theory and tech, 2012

    B. Fleming, Recent Advancement in Automotive Radar Systems, IEEE Vehicular Tech. Mag. 2012

    W. Menxel et al., Antenna Concepts for Millimeter-Wave Automotive Radar Sensors,Proceedings of the IEEE, 2012

    V. Giammello et al., ATransformer-Coupling Current-Reuse SiGe HBT Power Amplifier for 77-GHz Automotive Radar,accepted on IEEE Tran. Micr Theory and Tech

    B. Neri, S. Saponara, Advances in Technologies, Architectures and Applications of Highly-Integrated Low-power Radars, IEEE Aerosp. Eelectr. Syst. Mag. 2012

    Outline of the tutorial

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    116/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Scenarios and applications for highly-integrated

    low-power RADARRADAR architecture and analog-digital

    partitioning

    RF/mm-Wave RADAR transceivers and ADC

    Ubiquitous low-power RADAR case studies:

    vital sign detection, automotive driver assistance

    Digital signal processing for RADAR

    HW-SW implementing platforms for RADAR

    digital signal processing

    Conclusions

    Main signal processing functions in

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    117/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    classical RADARs:

    Digital range compression

    Single and multi beamforming

    Space-time adaptive processing

    CFAR techniques

    Direction of arrival (DOA) estimation

    Tracking

    Digital pulse compression

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    118/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Frequency of phase modulated long signals are transmitted and

    compressed in reception for improving range resolution.

    The receiver is a matched filter/correlator. The correlation can be done intime or frequency domain. The most common is the frequency domainthrough FFT. Many pulses are then integrated for target detection.

    Digital pulse compression

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    119/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    The FFT/IFFT is the core of the digital pulse compression

    8-point, Radix-2 FFT

    Digital pulse compression

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    120/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Single and multi beamforming

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    121/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    To form a beam in a particular direction, each element of the array needs

    to be followed by a time delay such that when all the outputs are summedthey add up coherently to form a beam

    Analog beamforming Digital beamforming

    Figures from Skolnik book

    Single and multi beamforming

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    122/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Analog beamforming used to implement subarrays, followed by digitalreceivers and digital phase shifter. In digital domain, the beamfomers areFIR filters with complex weigths

    Analog/digital beamforming

    Figure from Skolnik book

    Space-Time Adaptive Processing

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    123/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    STAP is an advanced signal processing technique used in airborne radarapplications to suppress interferences by performing jointly data-adaptivebeamforming (space domain) and Doppler processing (time domain)

    Space-Time Adaptive Processing

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    124/186

    2012 IEEE Radar Conference, May 7-11, AtlantaR. Klemm, J. Ward, STAP tutorial, 2008

    STAP: data cube

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    125/186

    2012 IEEE Radar Conference, May 7-11, AtlantaR. Klemm, J. Ward, STAP tutorial, 2008

    Optimum STAP

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    126/186

    2012 IEEE Radar Conference, May 7-11, AtlantaR. Klemm, J. Ward, STAP tutorial, 2008

    Optimum STAP

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    127/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    The core of the STAP is the inversion of the disturbance covariance matrix,adaptively estimated both in time and space domains using secondaryvectors.Direct computation of the weight vector wby inverting is not practicalfor STAP due to the huge dimension of itself. Generally the STAP systemtry to solve the equivalent linear system

    through the QR decomposition after covariance matrix estimation.The number of RFLOPs with QR decomposition is of order of (NM)3.QR decomposition can be applied directly to secondary vectors avoiding

    the matrix estimation. With Lsecondary vectors the number of RFLOPs is

    kRw v

    2 3

    8 2.67L MN MN

    R

    R

    Reduced dimension STAP

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    128/186

    2012 IEEE Radar Conference, May 7-11, AtlantaR. Klemm, J. Ward, STAP tutorial, 2008

    Incoherent CFAR detector

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    129/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    (only amplitude information is used)

    Incoherent CFAR detectors

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    130/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    CA-CFAR : Z=mean(X1, X2,. XN)

    GO-CFAR: Z1=mean(X1, X2,. XN/2)

    Z2=mean(XN/2+1, XN/2+2,. XN)

    Z=max(Z1,Z2)

    SO-CFAR: Z1=mean(X1, X2,. XN/2)

    Z2=mean(XN/2+1, XN/2+2,. XN)

    Z=min(Z1,Z2)

    OS-CFAR: Y=sort(X1, X2,. XN)Z=YK

    Depending on the adaptive thresholdZwe have different CFAR techniques

    Coherent adaptive CFAR detectors(b h I d Q d)

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    131/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    (both I and Q components are used)

    For Gaussian target in Gaussian clutter we have:

    1

    0

    1

    0

    1

    0

    21

    1

    21

    1

    1

    2

    11

    1

    >( ) : ( ) : ( ) ( ) : 1

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    132/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    It needs two beams for each angular coordinate

    Sum and difference patterns are used

    It can use single or multiple pulses

    H. Rohling, Automotive Radar tutorial, 2008

    DOA estimation - Monopulse

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    133/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    -1

    -0.5

    0

    0.5

    1

    -8 -6 -4 -2 0 2 4 6 8

    azimuth (degrees)

    N

    ormalizedantennapatte

    rn

    Ideally, without noise

    Example, with Gaussianantenna pattern and-3dB beamwidth=3o

    Tracking - Linear Kalman filter

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    134/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    1

    * *

    k k kK P P R

    Object parametersSensor

    Measurement LinearKalman

    Filter

    Track estimate

    Prediction

    xk

    yk

    k

    xk

    yk

    t

    t

    v

    v

    y

    *

    *

    *

    *

    xk

    ykk

    xk

    yk

    t

    tv

    v

    y

    xk

    yk

    k

    xk

    yk

    t

    t

    v

    v

    y

    xk

    yk

    kxk

    yk

    t

    t

    v

    v

    y

    1

    1

    1

    1

    1 0 0

    0 1 0

    0 0 1 0

    0 0 0 1

    k k

    k k

    k k

    k k

    x x

    y y

    x x

    y y

    t tT

    t tT

    v v

    v v

    Linear model1k ky Ay

    H. Rohling, Automotive Radar tutorial, 2008

    Linear Kalman filter

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    135/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Prediction step:

    - Prediction estimation based on Process matrix A:

    - Prediction accuracy estimation based on tracking accuracy and process noise:

    *

    1

    k ky Ay

    *

    1

    T

    k k P AP A Q

    Track estimation step

    - Track estimation:

    - Tracking accuracy estimation:

    1

    * *

    k k kK P P R

    * * k k k k k y y K y y

    *k k kP I K P

    Kalman gain based prediction

    accuracy and measurement noise

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    136/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Some

    applications

    Automotive RADARs

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    137/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Functionalities:Autonomous cruise control (ACC)

    ACC support with Stop&Go functionality

    Pre-crash sensing

    Collision mitigation and avoidance

    Parking aid (forward and reverse)

    Lane change assistant

    Rear crash collision warning

    Goals:Simultaneous measurement of obstacle range,

    radial velocity and angle

    Long Range Radar (LRR)

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    138/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Requirements for LRR RADAR

    Functionalities: Autonomous Cruise Control (ACC)Collision warning

    Observation area

    LRR for vehicular applications

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    139/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Transmitted signals

    Some special waveforms must be used to fulfill the requirements of

    simultaneous range and radial velocity measurement:

    Pulse Doppler

    FMCW with (at least) up- and down-chirp signals

    Frequency Shift Keying (FSK) CW

    MFSK CW

    LRR for vehicular applications

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    140/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Parameters for an LRR radars24 GHz or 77 GHz

    Single channel scheme2

    ( ) cos 22

    swT T

    CPI

    B ts t f t

    T

    ( )R Ts t s t t

    ,1

    22 swB D r

    CPI

    Bf f f v R

    cT

    ,2

    22sw

    B D r

    CPI

    Bf f f v R

    cT

    H. Rohling, Automotive Radar tutorial, 2008

    LRR for vehicular applications

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    141/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    FFT: applied on each segment (up and down chirp)

    frequency and range estimation accuracy depends on the number of FFT

    points. Typical values: 128-4096 points

    up chirp down chirp

    ,1Bf ,2BfH. Rohling, Automotive Radar tutorial, 2008

    LRR for vehicular applications

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    142/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    With only one up and down chirp , two targets are ambiguous. With

    four chirps two targets can be easily resolved

    H. Rohling, Automotive Radar tutorial, 2008

    LRR for vehicular applications

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    143/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Signal processing

    CFAR techniques for detection

    Most common: 1D-CA-CFAR applied onFFT output (frequency domain)

    Tracking techniques after detection

    Most common: linear KF

    DOA estimation

    Most common: Monopulsewith two antennas

    UWB radarsChacteristics:

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    144/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Low power consumption

    Low cost circuitry

    Low probability of detection

    Different materials and environments distort pulses differently

    Chacteristics:

    Vehicular radar (Short range)

    Ground Penetrating Radar (GPR)

    Trough-the-wall imaging

    Medical radars

    Applications:

    UWB RADAR definitionThe amount of spectrum occupied by a signal transmitted by a UWB-radar (i e

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    145/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    The amount of spectrum occupied by a signal transmitted by a UWB radar (i.e.the bandwidth of the UWB signal) is at least 25% of the center frequency. Thus, aUWB signal centered at 2 GHz would have a minimum bandwidth of 500 MHzand the minimum bandwidth of a UWB signal centered at 4 GHz would be1 GHz. Often the absolute bandwidth is bigger than 1 GHz.

    narrowband

    UWBnoise

    UWB RADAR for medical applications

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    146/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    DS

    P

    static signal cancellation

    FFT and frequency estimation

    How does it work?

    D

    S

    P

    UWB RADAR for medical applications

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    147/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    UWB RADAR for medical applications

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    148/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Frequency band: 3.1 -10.6 GHz, typical bandwidth: 2 GHz

    UWB RADAR for medical applications

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    149/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Chest movements for breathing and heart beat: the time delay of thereference signal in the correlator is fixed to the position of the target, thenany movement will change the output amplitude at the receiver.

    The relationship between the target distance and the output amplitude isalmost linear around the correlation maximum, then a distance change canbe directly seen through the amplitude of the output signal

    Measured sensor output for abreathing person and a breath hold ofabout 12 sTransmitted pulse: 1stderivative

    Gaussian pulseDistance fixed to the skin-air boundary

    Heart beat

    UWB RADAR for medical applications

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    150/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    static signal cancellation Xs

    =mean(X)

    Y=X-Xs

    FFT and frequency estimation

    Undesired harmonic terms andintermodulations other than thesinusoids of interestThe third and forth- order harmonics

    of respiration signal are very close tothe frequency of heart beat,complicating the output spectrumNeed for frequency super-resolutionmethods (like RELAX or MUSIC)

    UWB RADAR for medical applications

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    151/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Predicted attenuation of pulse-echo traveling from the transmitting antennato the receiving antenna. Each step accounts for echo at the boundary.

    Decreasing of the curve accounts for linear attenuation in the tissue

    RCS of the heart @5GHz: 0.001 m2

    Doppler Bio RADAR

    How does it work?

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    152/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    The transmitter generates a CW signalThe phase shift of the reflected signal is proportional to the time-varyingchest positionSpectral analysis through FFT or super-resolution method estimate beat andbreath frequencies

    C. Li et al., IEEE TIM 2010

    How does it work?

    Conclusions

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    153/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Automotive applications: in the future a network of small

    radars will be used to improve the performance in terms of

    angular, frequency and range accuracy and in terms of

    number of tracked targets

    Biomedical applications: use of MIMO radars techniquesto mitigate the noise caused by other body motion artifacts

    References

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    154/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    M. A. Richards, Fundamentalsof Radar Signal Processing,McGraw-Hill, 2005, ISBN 0-07-144474-2.

    S. Lal, R. Muscedere, S. Chowdhury, An FPGA-Based Signal Processing System for a 77 GHz MEMS Tri-ModeAutomotive Radar,2011 22nd IEEE International Symposium on Rapid System Prototyping, 2011 , pp. 2 - 8.

    S. Venkatesh, C.R. Anderson, N.V. Rivera, R.M. Buehrer, "Implementation and analysis of respiration-rate estimationusing impulse-based UWB", IEEE MILCOM 2005, 2005, pp. 3314-3320, Vol. 5

    M. Leib, W. Menzel, B. Schleicher, H. Schumacher, " Vital signs monitoring with a UWB radar based on a correlationreceiver", Fourth European Conference on Antennas and Propagation (EuCAP),2010, pp. 1 - 5

    H. Dominik "Short Range Radar - Status of UWB Sensors and their Applications", 4thEuropean Radar Conference,2007, pp. 251-254.

    J. J. Alter, J.O. Coleman, RadarDigital Signal Processing", Ch. 25 in Introduction to Radar Systems, ed M. Skolnik,McGraw Hill.

    R.H. Hosking, "FPGA Cores Enhance Radar Pulse Compression", COTS Journal, October 2003, pp. 32-35.

    H. Rohling, "Radar Sensors for Automotive Applications", tutorial2008 IEEE Radar Conference, Rome

    R. Klemm, J. Ward, "Space-Time Adaptive Processing Architectures and Algorithms", tutorial 2008 IEEE RadarConference, Rome

    Outline of the tutorial

    Scenarios and applications for highly integrated

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    155/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Scenarios and applications for highly-integrated

    low-power RADARRADAR architecture and analog-digital

    partitioning

    RF/mm-Wave RADAR transceivers and ADC

    Ubiquitous low-power RADAR case studies:

    vital sign detection, automotive driver assistance

    Digital signal processing for RADAR

    HW-SW implementing platforms for RADARdigital signal processing

    Conclusions

    HW-SW implementing platforms forRADAR digital signal processing

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    156/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Overview of implementing platforms for RADARDSP

    Custom SoC

    MCU (Microcontroller Unit)

    GPP (General Purpose Processor)

    DSP (Digital Signal Processor) and GPU

    (Graphical Processing Unit)

    High-end FPGA (Field Programmable Gate Array)

    Cost-effective FPGA

    Implementing platforms for RADAR DSP

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    157/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Ubiquitous integrated RADAR applications (automotive, bio,..) needs low-

    power consumption (reduced power supply and thermal issues andincreased portability) but can require high computational capabilities and

    large data transfer rate and memory storage size

    Energy-flexibility trade-off to be found betweenSoftware-oriented platforms (GPP, DSP, GPU, Microcontroller)

    and Hardware-oriented platforms (custom IC-SoC or MPSoC, FPGA)

    Note: apart Custom SoC designs or microcontrollers, external ADC and

    DAC are required since only digital interfaces are provided

    Implementing platforms for RADAR DSP

  • 7/13/2019 Tutorial Saponara Greco V6 17Aprile

    158/186

    2012 IEEE Radar Conference, May 7-11, Atlanta

    Main performance metrics to be considered:

    - Computational capabilities: GOPS (giga operations pre second) and if

    there are DSP-dedicated instructions (e.g. Multiply, MAC, )

    - Operand bit-width and arithmetic type (Fixed, Floating or Block Floating

    Point Operations)

    - Memory: access frequency, size and hierarchy levels

    - Flexibility: level of re-programmability or re-configurability

    - Energy efficiency (GOPS/MHz)

    - On-chip available I/O interfaces (type, data-r